Including Differential Oxidation Patents (Class 438/165)
  • Patent number: 7061029
    Abstract: A high-voltage device structure disposed in a substrate of a first conductivity type includes a first well and a second well each of a second conductivity type, a source diffusion region and a drain diffusion region each of a first length located in the first well and the second well respectively, and a gate of a second length on the substrate surface. Since the gate of the second length is longer than the source diffusion region and the drain diffusion region of the first length, the two sides of the gate have two spare regions. Two windows are located in the spare regions.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: June 13, 2006
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Fang Lee, Wei-Lun Hsu, Yu-Hsien Lin
  • Patent number: 7023015
    Abstract: A thin-film semiconductor device is provided including a plurality of thin-film transistors (TFT) having different driving voltages formed on an glass substrate, wherein a gate insulator electric field at each of the driving voltages of the plurality of thin-film transistors is in a range of about 1 MV/cm to 2 MV/cm, and a drain concentration of p-type thin-film transistors (TFT) is in a range of about 3E+19/cm3 to 1E+20/cm3.
    Type: Grant
    Filed: August 12, 2003
    Date of Patent: April 4, 2006
    Assignee: NEC Corporation
    Inventors: Naoki Matsunaga, Kenji Sera, Mitsuasa Takahashi
  • Patent number: 6955955
    Abstract: In a method of manufacturing a semiconductor device, an initial structure is provided. The initial structure includes a substrate, a patterned silicon layer, and a covering layer. The substrate has a buried insulator layer formed thereon. The patterned silicon layer is formed on the buried insulator layer. The covering layer is formed on the patterned silicon layer. A first layer is formed on the initial structure. Part of the first layer is removed with an etching process, such that a sidewall portion of the patterned silicon layer is exposed and such that a remaining portion of the first layer remains at a corner where the patterned silicon layer interfaces with the buried insulator layer. An oxide liner is formed on the exposed sidewall portion. A recess may be formed in the buried insulator layer (prior to forming the first layer) and may extend partially beneath the patterned silicon layer.
    Type: Grant
    Filed: December 29, 2003
    Date of Patent: October 18, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuang-Hsin Chen, Hsun-Chih Tsao, Hung-Wei Chen, Di-Hong Lee, Chuan-Ping Hou, Jhi-Cherng Lu
  • Patent number: 6902960
    Abstract: An oxide interface and a method for fabricating an oxide interface are provided. The method comprises forming a silicon layer and an oxide layer overlying the silicon layer. The oxide layer is formed at a temperature of less than 400° C. using an inductively coupled plasma source. In some aspects of the method, the oxide layer is more than 20 nanometers (nm) thick and has a refractive index between 1.45 and 1.47. In some aspects of the method, the oxide layer is formed by plasma oxidizing the silicon layer, producing plasma oxide at a rate of up to approximately 4.4 nm per minute (after one minute). In some aspects of the method, a high-density plasma enhanced chemical vapor deposition (HD-PECVD) process is used to form the oxide layer. In some aspects of the method, the silicon and oxide layers are incorporated into a thin film transistor.
    Type: Grant
    Filed: November 14, 2002
    Date of Patent: June 7, 2005
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Pooran Chandra Joshi, John W. Hartzell, Masahiro Adachi, Yoshi Ono
  • Patent number: 6867074
    Abstract: A method of fabrication a polysilicon layer is provided. A substrate is provided and then a buffer layer having a plurality of trenches thereon is formed over the substrate. Thereafter, an amorphous silicon layer is formed over the buffer layer. Finally, a laser annealing process is conducted so that the amorphous silicon layer melts and crystallizes into a polysilicon layer starting from the upper reach of the trenches. This invention can be applied to fabricate the polysilicon layer of a low temperature polysilicon thin film transistor liquid crystal display such that the crystals inside the polysilicon layer are uniformly distributed and have a larger average size.
    Type: Grant
    Filed: January 15, 2003
    Date of Patent: March 15, 2005
    Assignee: Au Optronics Corporation
    Inventor: I-Chang Tsao
  • Patent number: 6864127
    Abstract: There are disclosed techniques for providing a simplified process sequence for fabricating a semiconductor device. The sequence starts with forming an amorphous film containing silicon. Then, an insulating film having openings is formed on the amorphous film. A catalytic element is introduced through the openings to effect crystallization. Thereafter, a window is formed in the insulating film, and P ions are implanted. This process step forms two kinds of regions simultaneously (i.e., gettering regions for gettering the catalytic element and regions that will become the lower electrode of each auxiliary capacitor later).
    Type: Grant
    Filed: February 4, 2003
    Date of Patent: March 8, 2005
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hideto Ohnuma, Tamae Takano, Hisashi Ohtani
  • Patent number: 6844225
    Abstract: A self-aligned oxide mask is formed utilizing differential oxidation rates of different materials. The self-aligned oxide mask is formed on a CVD grown base NPN base layer which compromises single crystal Si (or Si/SiGe) at active area and polycrystal Si (or Si/SiGe) on the field. The self-aligned mask is fabricated by taking advantage of the fact that poly Si (or Si/SiGe) oxidizes faster than single crystal Si (or Si/SiGe). An oxide film is formed over both the poly Si (or Si/siGe) and the single crystal Si (or Si/siGe) by using an thermal oxidation process to form a thick oxidation layer over the poly Si (or Si/siGe) and a thin oxidation layer over the single crystal Si (or Si/siGe), followed by a controlled oxide etch to remove the thin oxidation layer over the single crystal Si (or Si/siGe) while leaving the self-aligned oxide mask layer over the poly Si (or Si/siGe). A raised extrinsic base is then formed following the self-aligned mask formation.
    Type: Grant
    Filed: January 15, 2003
    Date of Patent: January 18, 2005
    Assignee: International Business Machines Corporation
    Inventors: Huajie Chen, Kathryn T. Schonenberg, Gregory G. Freeman, Andreas D. Stricker, Jae-Sung Rieh
  • Patent number: 6812077
    Abstract: Patterning of a gate line is terminated prior to etching completely through the conductive layer from which it is patterned. Surfaces of the conductive layer are then reacted in a reactive atmosphere, and the reacted surfaces are removed, creating a narrow gate line. The protection provided by the remaining portion of the conductive layer during reaction protects the lower corners of the patterned feature from undercutting growth of reacted material. Alternatively, a gate line is patterned from a multi-layered conductive structure that includes a lower conductive layer and an upper conductive layer that exhibits higher reactivity in a reactive atmosphere than the lower layer. The upper layer is patterned and then the structure is reacted in the reactive atmosphere. Reacted portions of the upper layer are then removed and the lower layer is patterned in a self-aligned manner to complete the formation of a gate line and gate insulator.
    Type: Grant
    Filed: November 19, 2002
    Date of Patent: November 2, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Darin Chan, Douglas J. Bonser, Mark S. Chang
  • Publication number: 20040209412
    Abstract: To provide a manufacturing method for a field-effect transistor, such as a thin-film transistor, enabling reductions in the number patterning steps and the number of photomasks and improvements in the throughput and the yield. In the method, an oxide film is formed by processing the surface of a crystalline semiconductor with ozone water or hydrogen peroxide water. Using the oxide film thus formed as an etch stop, a gate electrode, a source electrode, and a drain electrode of the field-effect transistor are simultaneously formed from a same starting film in one patterning step by use of one photomask. After forming the gate electrode, the source electrode, and the drain electrode, heating is performed thereon at 800° C. or higher for a predetermined time. Thereby, the contact resistances between the source electrode and the crystalline semiconductor and between the drain electrode and the crystalline semiconductor are reduced, whereby improving the electrical conductivity.
    Type: Application
    Filed: May 12, 2004
    Publication date: October 21, 2004
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Tatsuya Arao
  • Publication number: 20040137670
    Abstract: A self-aligned oxide mask is formed utilizing differential oxidation rates of different materials. The self-aligned oxide mask is formed on a CVD grown base NPN base layer which compromises single crystal Si (or Si/SiGe) at active area and polycrystal Si (or Si/SiGe) on the field. The self-aligned mask is fabricated by taking advantage of the fact that poly Si (or Si/SiGe) oxidizes faster than single crystal Si (or Si/SiGe). An oxide film is formed over both the poly Si (or Si/siGe) and the single crystal Si (or Si/siGe) by using an thermal oxidation process to form a thick oxidation layer over the poly Si (or Si/siGe) and a thin oxidation layer over the single crystal Si (or Si/siGe), followed by a controlled oxide etch to remove the thin oxidation layer over the single crystal Si (or Si/siGe) while leaving the self-aligned oxide mask layer over the poly Si (or Si/siGe). A raised extrinsic base is then formed following the self-aligned mask formation.
    Type: Application
    Filed: January 15, 2003
    Publication date: July 15, 2004
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Huajie Chen, Kathryn T. Schonenberg, Gregory G. Freeman, Andreas D. Stricker, Jae-Sung Rieh
  • Patent number: 6709908
    Abstract: Certain embodiments relate to methods for making a semiconductor device that inhibit the formation of a parasitic device. A method for making a semiconductor device includes a delimiting step and a dopant implantation step. The delimiting step partially oxidizes a single-crystal silicon layer provided on a semiconductor substrate 11 with an insulating layer therebetween to form a plurality of isolated single-crystal-silicon-layer segments 13a delimited by the insulating layer 16. In the implantation step, dopant ions 18 are implanted into the single-crystal-silicon-layer segments 13a to activate the single-crystal-silicon-layer segments 13a. In this implantation step, the dopant is implanted into the single-crystal-silicon-layer segments 13a by an implantation energy which is set so that the position of the maximum of the dopant concentration lies at bottom edges Ea and Eb of each single-crystal-silicon-layer segment 13a.
    Type: Grant
    Filed: February 23, 2001
    Date of Patent: March 23, 2004
    Assignee: Seiko Epson Corporation
    Inventors: Yoko Sato, Akihiko Ebina
  • Patent number: 6667197
    Abstract: A method of forming a substantially uniform oxide film over surfaces with different level of doping and/or different dopant type is disclosed. In one aspect, a method for forming a uniform oxide spacer on the sidewalls of heavily doped n- and p-type gates is disclosed. The method includes providing a semiconductor substrate having at least two regions with dissimilar dopant characteristics, optionally heating the substrate; and forming a uniform oxide layer over the at least two regions by exposing the substrate to a gaseous mixture including atomic oxygen.
    Type: Grant
    Filed: December 6, 2002
    Date of Patent: December 23, 2003
    Assignee: International Business Machines Corporation
    Inventors: Oleg Gluschenkov, Bruce B. Doris, Omer H. Dokumaci
  • Patent number: 6664146
    Abstract: For fabricating field effect transistors with a semiconductor substrate in SOI (semiconductor on insulator) technology, a first hardmask is formed on a first area of the semiconductor substrate, and a first dielectric forming dopant is implanted into a second area of the semiconductor substrate that is not covered by the first hardmask. The first hardmask is removed from the first area of the semiconductor substrate. A second hardmask is formed on the second area of the semiconductor substrate, and a second dielectric forming dopant is implanted into the first area of the semiconductor substrate that is not covered by the second hardmask. A thermal anneal is performed to form a first buried insulating structure from the second dielectric forming dopant reacting within the first area of the semiconductor substrate and to form a second buried insulating structure from the first dielectric forming dopant reacting within the second area of the semiconductor substrate.
    Type: Grant
    Filed: June 1, 2001
    Date of Patent: December 16, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6656778
    Abstract: A passivation structure for a semiconductor device includes a high ultraviolet transmittance silicon nitride (UV-SiN) layer. This UV-SiN layer substantially conformally overlies a plurality of top metal lines, which are formed over a semiconductor substrate, such that topographical hollows are defined between adjacent top metal lines. A spin-on glass (SOG) material fills in the topographical hollows. A silicon oxynitride (SiON) layer having a thickness in a range from about 8,000 angstroms to about 10,000 angstroms overlies the UV-SiN layer and the SOG material. A method for forming the passivation structure also is described.
    Type: Grant
    Filed: April 26, 2002
    Date of Patent: December 2, 2003
    Assignee: Macronix International Co., Ltd.
    Inventors: Jung-Chieh Chen, Tung-Ta Lee, I-Yueh Chen, Chen-Chien Lu
  • Patent number: 6599791
    Abstract: In a monolithic active matrix circuit that uses offset-gate TFTs in which the gate electrode is offset from the source and drain regions or TFTs whose gate insulating film is formed by vapor deposition, not only an active matrix circuit but also a drive circuit therefor is formed by using P-channel TFTs.
    Type: Grant
    Filed: January 13, 1999
    Date of Patent: July 29, 2003
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Jun Koyama, Yuji Kawasaki
  • Publication number: 20030087481
    Abstract: Semiconductor devices useful in high temperature sensing applications include a silicon carbide substrate, a silicon dioxide layer, and an outer layer of crystalline doped silicon carbide. The device is a 3C—SiC/SiO2/SiC structure. This structure can be employed to fabricate high temperature devices such as piezoresistive sensors, minority carrier devices and so on. The crystalline doped silicon carbide is dielectrically isolated from the substrate. The devices are formed by processes that include bonding a pattern wafer to a substrate wafer, selective oxidation and removal of undoped silicon, and conversion of doped silicon to crystalline silicon carbide. The level of doping and the crystalline structure of the silicon carbide can be selected according to desired properties for particular applications.
    Type: Application
    Filed: November 3, 2001
    Publication date: May 8, 2003
    Inventors: Anthony D. Kurtz, Alexander A. Ned
  • Patent number: 6551867
    Abstract: A non-volatile semiconductor memory device includes an interlayer dielectric film 9, 19 flattened by etching back an SOG film. In the non-volatile semiconductor memory device, a barrier film of a silicon nitride film 9D and 19D is formed to cover at least a memory cell composed of a floating gate 4, a control gate 6, etc. Because of such a structure, even if H or OH contained in the SOG is diffused, it will not be trapped by a tunneling film 3. This improves a “trap-up rate”. The barrier film may be formed in only an area covering the memory cell. This reduces its contact area with a tungsten silicide film, thereby suppressing film peeling-off. Thus, the operation life of the memory cell in the non-volatile semiconductor memory device can be improved.
    Type: Grant
    Filed: January 19, 2000
    Date of Patent: April 22, 2003
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Kazuyuki Ozeki, Yukihiro Oya, Kazutoshi Kitazume, Hideo Azegami
  • Patent number: 6537927
    Abstract: A method and apparatus for heat-treating a semiconductor substrate to heat different areas of the substrate at different temperatures. The method includes using an apparatus having a chamber of a refractory material; a support plate located at a lower side in the chamber for supporting the semiconductor substrate; a heating device disposed at an upper side in the chamber; and, a heat resistance mask provided between the support plate and fabricated to have different heat transmission rates therein.
    Type: Grant
    Filed: June 3, 1999
    Date of Patent: March 25, 2003
    Assignee: Hynix Semiconductor, Inc.
    Inventor: Jeong Hwan Son
  • Publication number: 20030040145
    Abstract: A method is presented in which an active element, e.g. a semiconductor device, is embedded in a passive circuitry formed on a low-cost substrate, having good dielectric properties. After forming the active element on a first substrate, the active elements are singulated and transferred to a second substrate. The active element is bonded to this second substrate and the portion of the first substrate, on which this active element is created, is removed selectively to the active element and the low-cost substrate. On this second substrate passive circuitry may be present or it can be formed after the attachment of the active element. The passive circuitry is interconnected to the active element or other components or dies present on the low-cost substrate.
    Type: Application
    Filed: January 29, 2001
    Publication date: February 27, 2003
    Inventors: Staf Borghs, Eric Beyne, Raf Vandersmissen
  • Patent number: 6524883
    Abstract: A method for fabricating a quantum dot, which can be used to fabricate a single electron memory device. The method includes forming a first insulation layer on a semiconductor layer, then forming a second insulation layer on the first insulation layer. Next, the second insulation layer is patterned to form an opening to partially expose the upper surface of the first insulation layer. Using the opening in the second insulation layer, a silicon ion is then implanted into the first insulation layer through the opening by using a tilt angle ion implantation method. Finally, the semiconductor layer is treated to re-crystallize the silicon ion implanted into the first insulation layer.
    Type: Grant
    Filed: August 15, 2001
    Date of Patent: February 25, 2003
    Assignee: Hynix Semiconductor Inc.
    Inventor: Il-Gweon Kim
  • Patent number: 6500715
    Abstract: The present invention is drawn to a semiconductor integrated circuit device employing on the same silicon substrate a plurality of kinds of MOS transistors different in magnitude of tunnel current flowing either between the source and gate or between the drain and gate thereof. These MOS transistors include tunnel-current increased MOS transistors at least one of which is for use in constituting a main circuit of the device. The plurality of kinds of MOS transistors also include tunnel-current reduced or depleted MOS transistors at least one of which is for use with a control circuit. This control circuit is inserted between the main circuit and at least one of two power supply units.
    Type: Grant
    Filed: May 11, 2001
    Date of Patent: December 31, 2002
    Assignee: Hitachi, Ltd.
    Inventors: Nozomu Matsuzaki, Hiroyuki Mizuno, Masashi Horiguchi
  • Patent number: 6448115
    Abstract: A semiconductor device having a silicon-on-insulator (SOI) structure includes a lower silicon substrate and an upper silicon pattern electrically insulated from the lower silicon pattern by an isolating insulation layer buried by a reverse T-type hole formed in the lower silicon substrate. A gate insulation layer and a gate electrode are formed over the upper silicon pattern, and source/drain regions are formed in the upper silicon pattern centered around the gate electrode. Also, a channel region is disposed between the source/drain region. A silicon layer or a porous silicon layer is formed under the channel region for electrically connecting the lower silicon substrate and the upper silicon pattern. A body contact, which is the same as that of a general semiconductor device, is thus allowed without a special change in the design of the semiconductor device.
    Type: Grant
    Filed: October 12, 2000
    Date of Patent: September 10, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Geum-jong Bae
  • Patent number: 6406969
    Abstract: A method of manufacturing a thin film transistor array substrate that includes photolithographically forming an active layer on a substrate. The photoresist mask remaining on the substrate is then removed using a stripper. After stripping, the substrate is immersed in a thin alkali-based solution. The array substrate is then cleaned using distilled water. Source and drain electrodes are then formed on the active layer.
    Type: Grant
    Filed: December 12, 2000
    Date of Patent: June 18, 2002
    Assignee: LG. Philips LCD Co., Ltd.
    Inventors: Hye-Young Kim, Soon-Ku Huh
  • Patent number: 6387741
    Abstract: Silicon layers 2a, 2b comprised of different thicknesses are formed concurrently so as to be isolated from each other while a silicon oxide layer 1 serving as a foundation layer is controlled to be free from hollows by implanting ions only into a field silicon oxide layer 5a comprised of a thick film thickness among field silicon oxide layers 5a, 5b to be used for separating circuit elements, and thereby altering etching rates of the field silicon oxide layers 5a, 5b.
    Type: Grant
    Filed: February 1, 2001
    Date of Patent: May 14, 2002
    Assignee: Asahi Kasei Microsystems Co., Ltd.
    Inventor: Michihiro Kawano
  • Patent number: 6372561
    Abstract: For fabricating a field effect transistor in SOI (semiconductor on insulator) technology, an opening is etched through a first surface of a first semiconductor substrate, and a dielectric material is deposited to fill the opening. The dielectric material and the first surface of the first semiconductor substrate are polished down to form a dielectric island comprised of the dielectric material surrounded by the first surface of the first semiconductor substrate that is exposed. The semiconductor material of the first semiconductor substrate remains on the dielectric island toward a second surface of the first semiconductor substrate. A layer of dielectric material is deposited on a second semiconductor substrate. The first surface of the first semiconductor substrate is placed on the layer of dielectric material of the second semiconductor substrate such that the dielectric island and the first surface of the first semiconductor substrate are bonded to the layer of dielectric material.
    Type: Grant
    Filed: June 1, 2001
    Date of Patent: April 16, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6368986
    Abstract: A process for selectively depositing a silicon oxide layer onto silicon substrates of different conductivity types is disclosed. The silicon oxide layer is formed by the ozone decomposition of TEOS at relatively low temperatures and relatively high pressures. Use of the process to produce layers, spacers, memory units, and gates is also disclosed, as well as the structures so produced.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: April 9, 2002
    Assignee: Micron Technology, Inc.
    Inventors: William Budge, Gurtej S. Sandhu, Christopher W. Hill
  • Patent number: 6346436
    Abstract: A nanometer-size quantum thin line is formed on a semiconductor substrate of a Si substrate or the like by means of the general film forming technique, lithographic technique and etching technique. By opportunely using the conventional film forming technique, photolithographic technique and etching technique, a second oxide film that extends in the perpendicular direction is formed on an Si substrate. Then, by removing the second oxide film that extends in the perpendicular direction, a second nitride film located below the film and a first oxide film located below the film by etching, a groove for exposing the Si substrate is formed. Then, a Si thin line is made to epitaxially grow on the exposed portion of the Si substrate. The quantum thin line is thus formed without using any special fine processing technique. The width of the groove can be accurately controlled in nanometers by controlling the film thickness of the second oxide film that is formed by oxidizing the surface of the second nitride film.
    Type: Grant
    Filed: January 28, 2000
    Date of Patent: February 12, 2002
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Yasumori Fukushima, Tohru Ueda, Kunio Kamimura
  • Patent number: 6346486
    Abstract: A crystalline silicon thin film transistor having an LDD (lightly doped drain) structure and a process for fabricating the same, which comprises introducing a catalyst element for accelerating crystallization at a concentration of 1×1015 cm−3 or more but less than 2×1019 cm−3 to the impurity region in an amorphous silicon film, crystallizing the amorphous film thereafter, and after forming gate electrode and gate insulating film, implanting an impurity in a self-aligned manner to establish an LDD structure.
    Type: Grant
    Filed: April 21, 1999
    Date of Patent: February 12, 2002
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hideki Uochi, Yasuhiko Takemura
  • Patent number: 6335267
    Abstract: A semiconductor substrate and a method of fabricating a semiconductor device are provided. An oxide film (13) is formed by oxidizing an edge section and a lower major surface of an SOI substrate (10). This oxidizing step is performed in a manner similar to LOCOS (Local Oxide of Silicon) oxidation by using an oxide film (11) exposed on the edge section and lower major surface of the SOI substrate (10) as an underlying oxide film. Then, the thickness of the oxide film (13) is greater than that of the oxide film (11) on the edge section and lower major surface of the SOI substrate (10). The semiconductor substrate prevents particles of dust from being produced at the edge thereof.
    Type: Grant
    Filed: September 22, 2000
    Date of Patent: January 1, 2002
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Toshiaki Iwamatsu, Yasuo Yamaguchi, Takashi Ipposhi, Shigenobu Maeda, Yuichi Hirano
  • Publication number: 20010053613
    Abstract: A crystalline silicon thin film transistor having an LDD (lightly doped drain) structure and a process for fabricating the same, which comprises introducing a catalyst element for accelerating crystallization at a concentration of 1×1015 cm−3 or more but less than 2×1019 cm−3 to the impurity region in an amorphous silicon film, crystallizing the amorphous film thereafter, and after forming gate electrode and gate insulating film, implanting an impurity in a self-aligned manner to establish an LDD structure.
    Type: Application
    Filed: April 21, 1999
    Publication date: December 20, 2001
    Inventors: HIDEKI UOCHI, YASUHIKO TAKEMURA
  • Patent number: 6306692
    Abstract: The present invention discloses a method of manufacturing a thin film transistor, including: depositing an amorphous silicon layer, an insulating layer, and a gate metal layer on a substrate sequentially; patterning the insulating layer and the gate metal layer to form a gate insulating layer and a gate electrode; treating an impurity and a catalyst metal on the amorphous silicon layer using the gate electrode as a mask; and applying a DC voltage to both terminals of the amorphous silicon layer to form a polysilicon layer, the polysilicon layer having source and drain regions and an active area.
    Type: Grant
    Filed: May 22, 2000
    Date of Patent: October 23, 2001
    Assignee: LG. Philips Lcd., Co. LTD
    Inventors: Seong Moh Seo, Sung Ki Kim
  • Patent number: 6303415
    Abstract: There are disclosed TFTs that have excellent characteristics and can be fabricated with a high yield. The TFTs are fabricated, using an active layer crystallized by making use of nickel. Gate electrodes are comprising tantalum. Phosphorus is introduced into source/drain regions. Then, a heat treatment is performed to getter nickel element in the active layer and to drive it into the source/drain regions. At the same time, the source/drain regions can be annealed out. The gate electrodes of tantalum can withstand this heat treatment.
    Type: Grant
    Filed: June 10, 1998
    Date of Patent: October 16, 2001
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 6287899
    Abstract: A method for manufacturing a thin film transistor array panel for a liquid crystal display is disclosed. The present invention enables to manufacture a thin film transistor array panel in lesser steps than the conventional method by fabricating certain film layers on the panel in one photolithography process. For this purpose, a mask that has parts of different light transmittance is used to fabricate multiple film layers in one photolithography process. The method according to the present invention can increase the productivity and yield by reducing the number of photolithography steps, which are expensive and time consuming.
    Type: Grant
    Filed: December 29, 1999
    Date of Patent: September 11, 2001
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Woon-Yong Park, Jong-Soo Yoon
  • Patent number: 6271065
    Abstract: On an insulating film a mesa-isolation silicon layer is formed, in which a channel region and source/drain regions ar included. A gate insulating film and a conducting layer as a part of a gate electrode are stacked on the mesa-isolation silicon layer. A sidewall of an insulating material is formed on side surfaces of the mesa-isolation silicon layer, gate insulating film, and conducting layer at an end portion of the channel region of the mesa-isolation silicon layer, and a gate electrode is formed on the conducting layer.
    Type: Grant
    Filed: January 31, 2000
    Date of Patent: August 7, 2001
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Shoichi Miyamoto, Takashi Ipposhi
  • Patent number: 6265251
    Abstract: A new method of forming a thick oxide MOS transistor for electrostatic discharge protection in a standard sub-micron STI CMOS process for an integrated circuit device has been achieved. A first well and a second well are implanted. The wells are counter-doped to the substrate type. The first well forms the drain, and the second well forms the source. A thin oxide layer is formed. A polysilicon layer is deposited. The polysilicon layer is patterned to form a dummy floating gate. Ions are implanted into the first well to form a first lightly-doped region and into the second well to form a second lightly-doped region of the same type as the wells. The lightly-doped regions are self-aligned to the dummy floating gate. Sidewall spacers are formed on the floating dummy gates. Ions are implanted into the first well to form a first heavily-doped region and the second well to to form a second heavily-doped region of the same type as the wells. The heavily-doped regions are self-aligned to the sidewall spacers.
    Type: Grant
    Filed: May 8, 2000
    Date of Patent: July 24, 2001
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Cai Jun, Keng Foo Lo
  • Patent number: 6150203
    Abstract: To provide a method of improving the characteristics and reliability of thin film transistors (TFT) which have been formed with a highest process temperature of not more than 700.degree. C. Crystalline silicon films are thermally oxidized and TFT gate insulating films, for example, are formed with the oxide so obtained. At this time, the thermal oxidation is carried out at a temperature of 500-700.degree. C. in such a way that no thermal damage is done to the substrate, for example, and a reactive gas which contains thermally excited or decomposed oxygen or nitrogen oxide (NO.sub.X, where 0.5.ltoreq..times..ltoreq.2.5) is used for the oxidizing gas. The oxidation reaction may be promoted by heating in an atmosphere of oxides of nitrogen at a high pressure of 2-10 atmospheres. Deterioration due to the implantation of hot electrons, for example, can be prevented and element reliability can be increased by using the thermal oxide films obtained in this way as gate insulating films.
    Type: Grant
    Filed: August 30, 1995
    Date of Patent: November 21, 2000
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Yasuhiko Takemura
  • Patent number: 6124153
    Abstract: A method for manufacturing a polysilicon thin film transistor (TFT) according to the present invention reduces the electric field near the drain junction by varying partially the thickness of a gate insulating layer through a post oxide process. A polysilicon layer is patterned to become an active layer and a chemical vapor deposition oxide film deposited. By thermal oxidation a thermal oxide film is formed under the chemical vapor deposition oxide film. A gate electrode made of polysilicon is formed on the gate insulating layer. Thermal oxidation is performed to make the end portions of the thermal oxide film thicker than the portion under the gate electrode of the thermal oxide film. With this process, the electric field near the drain junction region is reduced and thus the leakage currents of the TFT decrease. In addition, the method in this invention is very simple compared with the conventional methods of obtaining a LDD structure and on-current is not reduced.
    Type: Grant
    Filed: July 15, 1996
    Date of Patent: September 26, 2000
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Joo-hyung Lee, Yong-suk Jin
  • Patent number: 6096582
    Abstract: A method of making a semiconductor device is disclosed in which the device has an insulated gate transistor in which source and drain regions are provided in a single crystal semiconductor layer formed on an insulating layer with a channel region interposed between the source and drain regions. The insulating layers just below the source and drain regions are made thicker than an insulating layer just below the channel region. The method uses substrate bonding to achieve the device.
    Type: Grant
    Filed: July 23, 1997
    Date of Patent: August 1, 2000
    Assignee: Canon Kabushiki Kaisha
    Inventors: Shunsuke Inoue, Mamoru Miyawaki, Tetsunobu Kohchi
  • Patent number: 6096583
    Abstract: In forming an element isolating region in a silicon semiconductor layer of an SOI substrate, a silicon nitride film of a predetermined thickness is deposited over an oxide film formed on a SOI layer. The silicon nitride film is patterned in a design size of active regions, and side walls of a silicon nitride film are formed on the side surfaces of the patterned silicon nitride film. A first LOCOS process is carried out using the nitride film as an oxidation mask. A LOCOS film formed by the first LOCOS process is removed to form narrower concavities under the side walls. Then, another silicon nitride film is deposited, and is removed leaving portions thereof forming the concavities. Then, a second LOCOS process is carried out to form a LOCOS film as an element isolating region. The second LOCOS process uses the oxidation mask having the narrow cavities, so that stress at the boundary of the active region and the element isolation region is reduced, and the growth of bird's beaks can be suppressed.
    Type: Grant
    Filed: August 12, 1998
    Date of Patent: August 1, 2000
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Toshiaki Iwamatsu, Takashi Ipposhi, Yasuo Inoue
  • Patent number: 6060344
    Abstract: In a method for producing a semiconductor substrate completed through a bonding process for joining a semiconductor wafer to a support substrate by performing heat treatment thereto in a state in which the semiconductor wafer is closely joined to the support substrate, the method according to the present invention includes the following steps, i.e., a depositing process for depositing a poly-crystal semiconductor which covers all areas of a surface to be bonded on the surface of the semiconductor wafer; a heat treatment process for performing the heat treatment to the semiconductor wafer provided after the depositing process, during a predetermined time under a temperature equal to or higher than the heat treatment temperature at the bonding process; and a polishing process for flattening the surface of the poly-crystal semiconductor provided after the heat treatment process. After the above processes were performed in order, the bonding process is performed after the polishing process.
    Type: Grant
    Filed: August 19, 1998
    Date of Patent: May 9, 2000
    Assignee: Denso Corporation
    Inventors: Masaki Matsui, Masatake Nagaya, Hisayoshi Ohshima
  • Patent number: 6033940
    Abstract: A circuit substrate includes a plurality of semiconductor devices including electrodes, a wiring having a plurality of branched portions and mainly formed of a metal material, a terminal for applying a voltage to the wiring to anodize the branched portions, and an anodization controller for controlling degrees of anodization of the branched portions. The branched portions serve as the electrodes of the semiconductor devices. The terminal is connected to the wiring.
    Type: Grant
    Filed: October 30, 1997
    Date of Patent: March 7, 2000
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Akihito Jinda
  • Patent number: 6034001
    Abstract: A method for selective conductivity etching of a silicon carbide (SiC) semiconductor includes forming a p-type SiC layer on a substrate layer, forming an n-type SiC layer on the p-type SiC layer, and photoelectrochemically etching selected portions of the n-type SiC layer by applying a bias voltage to the n-type SiC layer in a hydrofluoric acid (HF) solution while exposing the layer to a pattern of UV light. The bias potential is selected so that the n-type SiC layer will photo-corrode and the p-type SiC layer will be inert and act as an etch stop. The light pattern exposure of the n-type SiC layer may be done by applying a photolithographic mask to the layer, by projecting a collimated light beam through a patterned mask, or by scanning with a focused micrometer-sized laser beam on the semiconductor surface.
    Type: Grant
    Filed: February 17, 1994
    Date of Patent: March 7, 2000
    Assignee: Kulite Semiconductor Products, Inc.
    Inventors: Joseph S. Shor, Anthony D. Kurtz, David Goldstein
  • Patent number: 5985733
    Abstract: A semiconductor device having an adjacent P-well and N-well, such as a complementary metal oxide semiconductor (CMOS) transistor, on a silicon on insulator (SOI) substrate has a latch-up problem caused by the parasitic bipolar effect. This invention provides a semiconductor device removing the latch-up problem and methods for fabricating the same. A semiconductor device according to the present invention has a T-shaped field oxide layer connected to a buried oxide layer of the SOI substrate to prevent the latch-up problem.
    Type: Grant
    Filed: June 26, 1997
    Date of Patent: November 16, 1999
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Yo Hwan Koh, Jin Hyeok Choi
  • Patent number: 5915172
    Abstract: Method for manufacturing TFTs including steps of forming a control electrode and control electrode line on a substrate, forming insulating film on the control electrode and the control electrode line, cleaning the substrate with the insulating film formed by a chemical or physical means, forming oxide film on the surface of the control electrode and control electrode line exposed by a film lacking portion generated in the insulating film after cleaning, forming a semiconductor layer via the insulating film on the control electrode, and forming a pair of electrodes constituting a semiconductor element together with the semiconductor layer.
    Type: Grant
    Filed: July 8, 1997
    Date of Patent: June 22, 1999
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Shigeaki Noumi, Kazuhiko Noguchi, Takeshi Kubota, Masami Hayashi, Takeshi Morita
  • Patent number: 5913112
    Abstract: An LDD structure is manufactured to have a desired aspect ratio of the height to the width of a gate electrode. The gate electrode is first deposited on a semiconductor substrate followed by ion implantation with the gate electrode as a mask to form a pair of impurity regions. The gate electrode is then anodic oxidized to form an oxide film enclosing the electrode. With the oxide film as a mask, highly doped regions are formed by ion implantation in order to define lightly doped regions between the highly doped regions and the channel region located therebetween.
    Type: Grant
    Filed: March 11, 1994
    Date of Patent: June 15, 1999
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Yasuhiko Takemura, Hongyong Zhang
  • Patent number: 5904514
    Abstract: A first pair electrodes consisting of an anode to which a plurality of wiring lines to be anodized are connected and a cathode that is opposed to the anode, and a second pair electrodes for collecting impurities in a forming solution are immersed in a forming solution. A voltage is applied to the plurality of wiring lines in such a manner that at least one of the plurality of wiring lines receives the voltage for a different period than the other wiring lines.
    Type: Grant
    Filed: January 25, 1996
    Date of Patent: May 18, 1999
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Toshimitsu Konuma, Jun Koyama, Masaaki Hiroki, Shunpei Yamazaki
  • Patent number: 5877083
    Abstract: It is intended to reduce occurrences of interlayer short-circuiting due to pinholes existing in an interlayer insulating film particularly in a circuit formed on an insulating substrate. A wiring line mainly made of an anodizable metal such as aluminum, tantalum, titanium, or the like is formed on an insulating surface, and an interlayer insulating film is so formed as to cover the metal wiring line. The substrate is then immersed in an electrolyte of, for instance, ammonium tartrate. Portions of the metal wiring line which are exposed by the pinholes of the interlayer insulating film are selectively anodized by allowing a current to flow through the wiring line by using it as one of the electrodes and gradually increasing a voltage difference between the wiring line and the opposed electrode. Thus, insulation performance of the interlayer insulating film is improved.
    Type: Grant
    Filed: October 30, 1995
    Date of Patent: March 2, 1999
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 5807771
    Abstract: A radiation-hard, low-power semiconductor device of the complementary metal-oxide semiconductor (CMOS) type which is fabricated with a sub-micron feature size on a silicon-on-insulator (SOI) substrate (12). The SOI substrate may be of several different types. The sub-micron CMOS SOI device has both a fabrication and structural complexity favorably comparable to conventional CMOS devices which are not radiation-hard. A method for fabricating the device is disclosed.
    Type: Grant
    Filed: June 4, 1996
    Date of Patent: September 15, 1998
    Assignee: Raytheon Company
    Inventors: Truc Q. Vu, Chen-Chi P. Chang, James S. Cable, Mei F. Li
  • Patent number: 5792678
    Abstract: A semiconductor on insulator structure (50) includes a silicon layer (30) formed on an insulating substrate (20). The silicon layer (30) is partitioned into two sections (32, 34) which are electrically isolated from each other. The thickness of the silicon layer (30) in a first section (32) of the silicon layer (30) is adjusted independently from the thickness of the silicon layer (30) in a second section (34) of the silicon layer (30). Independently adjusting the thickness of the silicon layer (30) allows optimizing the performance of semiconductor devices (60, 80) fabricated in the first and second sections (32, 34) of the semiconductor on insulator structure (50).
    Type: Grant
    Filed: May 2, 1996
    Date of Patent: August 11, 1998
    Assignee: Motorola, Inc.
    Inventors: Juergen A. Foerstner, Wen-Ling M. Huang, Marco Racanelli
  • Patent number: 5780347
    Abstract: A method and apparatus of forming local interconnects in a MOS process deposits a layer of polysilicon over an entire region after several conventional MOS processing steps. The region is then masked to provide protected regions and unprotected regions. The mask may be used to define local interconnects and other conductive elements such as the source and drain contact regions for a MOS transistor. After masking, the region is bombarded with atoms to enhance the oxidation potential of the unprotected regions. The masking is removed and the substrate is then exposed to oxidizing conditions which cause the unprotected regions to rapidly oxidize to form a thick oxide layer. The formerly protected polysilicon regions may then be doped to render them conductive.
    Type: Grant
    Filed: May 20, 1996
    Date of Patent: July 14, 1998
    Inventor: Ashok K. Kapoor