Including Additional Field Effect Transistor (e.g., Sense Or Access Transistor, Etc.) Patents (Class 438/258)
  • Patent number: 7015101
    Abstract: A method for manufacturing an integrated circuit structure includes providing a semiconductor substrate and forming a gate dielectric layer over the semiconductor substrate. The gate dielectric layer is formed in a plurality of thicknesses in a plurality of devices regions over the semiconductor substrate. A second dielectric layer is formed over at least one of the devices regions. A third dielectric layer is formed over at least a portion of the second dielectric layer. Ion traps are then selectively implanted in portions of the second dielectric layer.
    Type: Grant
    Filed: October 9, 2003
    Date of Patent: March 21, 2006
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Jia Zhen Zheng, Pradeep Ramachandramurthy Yelehanka, Weining Li
  • Patent number: 7015100
    Abstract: A method of fabricating a one-time programmable read only memory of the present invention is provided. First, a substrate having a memory cell area and a peripheral circuit area is provided. The memory cell area includes at least a one-time programmable read only memory cell, while the peripheral circuit area includes at least a logic device. Thereafter, a silicon oxide layer is formed over the substrate to cover the one-time programmable read only memory cell, the logic device and the exposed surface of the substrate. Next, a silicon nitride layer is formed on the silicon oxide layer. Then, the silicon nitride layer and the silicon oxide layer in the peripheral circuit area are removed, and the retained silicon nitride layer and silicon oxide layer in the memory cell area are as a salicide blocking layer (SAB). Thereafter, a salicide process is performed.
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: March 21, 2006
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Fang Lee, Dave Hsu, Asam Lin
  • Patent number: 7015089
    Abstract: An improved method of patterning resist protective dielectric layer and preferably protective silicon dioxide layer is described. The method consists of two sequential etching steps, the first one being a timed plasma etching process and the second one being a timed wet etching process. Plasma etching is used to remove approximately 70%–90% of the RPO film thickness and wet etching is used to remove the remaining 10%–30% of the film thickness. The two-step etching process achieves superior dimensional control, a non-undercut profile under the resist mask and prevents resist mask peeling from failure of adhesion at the mask/RPO film interface. The improved method has wide applications wherever and whenever RPO film is used in the process flow for fabricating semiconductor devices.
    Type: Grant
    Filed: November 7, 2002
    Date of Patent: March 21, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jyh-Shiou Hsu, Pin-Yi Hsin, Chuan-Chieh Huang
  • Patent number: 7015102
    Abstract: A method of forming an array of floating gate memory cells, and an array formed thereby, wherein each memory cell includes an electrical conductive floating gate formed in a trench in a semiconductor substrate, and an electrical conductive control gate having a portion disposed over and insulated from the floating gate. An electrical conductive tunneling gate is disposed over and insulated from the control gate by an insulating layer to form a tri-layer structure permitting both electron and hole charges tunneling through at similar tunneling rate. Spaced apart source and drain regions are formed with the source region disposed adjacent to and insulated from a lower portion of the floating gate, and with the drain region disposed adjacent to and insulated from an upper portion of the floating gate with a channel region formed therebetween and along a sidewall of the trench.
    Type: Grant
    Filed: April 13, 2005
    Date of Patent: March 21, 2006
    Inventor: Chih-Hsin Wang
  • Patent number: 7015098
    Abstract: A method and structure for an improved floating gate memory cell are provided. The non volatile memory cell includes a substrate and a first insulating layer formed on the substrate. The memory cell also includes a shallow trench isolation (STI) region having walls that form edges in the substrate and edges to a first conducting layer where the edges of the first conducting layer are aligned with the edges of the substrate. The memory cell further includes a second insulating layer formed on the first conducting layer and a second conducting layer formed on the first insulating layer. The invention also includes a method that capitalizes on a single step process for defining the STI region and the floating gate for a memory cell that aligns edges formed in the substrate by the walls of the STI region with the edges of the floating gate formed by the walls of the STI region. Arrays, memory devices, and systems are further included in the scope of the present invention.
    Type: Grant
    Filed: August 10, 2004
    Date of Patent: March 21, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Paul J. Rudeck
  • Patent number: 7012008
    Abstract: In a two-step spacer fabrication process for a non-volatile memory device, a thin oxide layer is deposited on a wafer substrate leaving a gap in the core of the non-volatile memory device. Implantation and/or oxide-nitride-oxide removal can be accomplished through this gap. After implantation, a second spacer is deposited. After the second spacer deposition, a periphery spacer etch is performed. By the above method, a spacer is formed.
    Type: Grant
    Filed: December 1, 2000
    Date of Patent: March 14, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jeffrey A. Shields, Tuan D. Pham, Mark T. Ramsbey, Yu Sun, Angela T. Hui, Maria Chow Chan
  • Patent number: 7012003
    Abstract: The invention relates to a method for producing a memory component comprising a memory location (104) having memory cells and first control electrode strips (162) for controlling the individual memory cells, and a peripheral area (106) having peripheral elements and second control electrode strips (164) for controlling said peripheral elements. The inventive method enables the expansion of the second control electrode strips (164) in the peripheral area (106) to be approximately randomly adjusted to minimum line widths, without influencing or changing the expansion of the first control electrode strips (162) in the memory location (104).
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: March 14, 2006
    Assignee: Infineon Technologies AG
    Inventor: Dirk Többen
  • Patent number: 7008850
    Abstract: A method for manufacturing the semiconductor device of which a transistor and a MNOS type memory transistor, each of which has a different gate withstand voltage and drain withstand voltage, are included in the same semiconductor layer.
    Type: Grant
    Filed: October 7, 2004
    Date of Patent: March 7, 2006
    Assignee: Seiko Epson Corporation
    Inventors: Takafumi Noda, Susumu Inoue, Masahiko Tsuyuki, Akihiko Ebina
  • Patent number: 7008848
    Abstract: A mask read only memory (ROM) and a method of fabricating the same is provided. This mask ROM and related method is capable of reducing the pitch of buried impurity diffusion regions. In the mask ROM fabrication process, a gate insulation layer is formed over a semiconductor substrate, and parallel conductive layer patterns are formed on the gate insulation layer. These conductive layer patterns are separated from each other by a first predetermined interval and extend in the same direction. Ion implantation is then carried out using the conductive layer patterns as a mask to form buried impurity diffusion regions near the semiconductor substrate between the conductive layer patterns. A conductive layer for use in forming word lines is then formed over the entire surface of the resultant structure, and both the conductive layer and the conductive layer patterns are etched so as to form word lines and pad conductive layers.
    Type: Grant
    Filed: November 17, 2003
    Date of Patent: March 7, 2006
    Assignee: Sumsung Electronics Co., Ltd.
    Inventors: Woon-kyung Lee, He-jueng Lee, Eui-do Kim
  • Patent number: 7005348
    Abstract: Methods for fabricating semiconductor devices are disclosed. An illustrated method includes: etching a semiconductor substrate to form a trench, forming an ONO film on the semiconductor substrate, removing the ONO film from the upper surface of the semiconductor substrate while leaving the ONO film on an inside wall surface of the trench, forming a gate oxide film on the semiconductor substrate adjacent the ONO film, depositing polysilicon on the semiconductor substrate, and selectively removing the polysilicon to form SONOS gate electrodes on the gate oxide film and the trench, respectively. Because opposite sides of the polysilicon gate electrode are covered with an ONO layer, the size of the nitride film may be substantially maximized.
    Type: Grant
    Filed: December 29, 2004
    Date of Patent: February 28, 2006
    Assignee: DongbuAnam Semiconductor Inc.
    Inventor: Kae Hoon Lee
  • Patent number: 7001808
    Abstract: Defects in element forming regions on which memory cells of a non-volatile memory are formed are to be diminished to reduce leakage current. End portions of element forming regions with non-volatile memory cells formed thereon are extended a length D by utilizing the region which underlies a dummy conductive film, whereby a stress induced from an insulating film which surrounds the element forming regions is concentrated on the extended region. As a result, defects do not extend up to the regions where memory cells are formed and therefore it is possible to reduce leakage current in the memory cells.
    Type: Grant
    Filed: February 26, 2004
    Date of Patent: February 21, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Keisuke Tsukamoto, Yoshihiro Ikeda, Tsutomu Okazaki, Daisuke Okada, Hiroshi Yanagita
  • Patent number: 7001812
    Abstract: A fabrication method of a semiconductor device which has on the same semiconductor layer a transistor with a different high voltage gate as well as a high voltage drain and an MNOS memory transistor.
    Type: Grant
    Filed: October 7, 2004
    Date of Patent: February 21, 2006
    Assignee: Seiko Epson Corporation
    Inventors: Takafumi Noda, Susumu Inoue, Masahiko Tsuyuki, Akihiko Ebina
  • Patent number: 6998674
    Abstract: The gate tunnel leakage current is increased in the up-to-date process, so that it is necessary to reduce the gate tunnel leakage current in the LSI which is driven by a battery for use in a cellular phone and which needs to be in a standby mode at a low leakage current. In a semiconductor integrated circuit device, the ground source electrode lines of logic and memory circuits are kept at a ground potential in an active mode, and are kept at a voltage higher than the ground potential in an unselected standby mode. The gate tunnel leakage current can be reduced without destroying data.
    Type: Grant
    Filed: April 13, 2005
    Date of Patent: February 14, 2006
    Assignees: Renesas Technology Corp., Hitachi ULSI Systems Co., Ltd.
    Inventors: Kenichi Osada, Koichiro Ishibashi, Yoshikazu Saitoh, Akio Nishida, Masaru Nakamichi, Naoki Kitai
  • Patent number: 6984559
    Abstract: A method of fabricating a flash memory is provided. A substrate having several device isolation structures for defining an active region is provided. A tunneling dielectric layer and a patterned mask layer are formed over the active region. A portion of each device isolation structure is removed to form a plurality of trenches. A dielectric layer is formed over the substrate and a sacrificial layer is filled the trenches. A portion of the dielectric layer is removed using the sacrificial layer as a self-aligned mask. The patterned mask layer is removed and a conductive layer that exposed the top section of the sacrificial layers is formed over the substrate. After removing the sacrificial layer, an inter-gate dielectric layer and a control gate are formed over the substrate. A source region and a drain region are formed in the substrate on each side of the control gate.
    Type: Grant
    Filed: May 19, 2004
    Date of Patent: January 10, 2006
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Leo Wang, Chien-Chih Du, Saysamone Pittikoun
  • Patent number: 6984562
    Abstract: The present invention provides a method for preventing an oxide film from becoming thick due to the reaction of the oxide film and a floating gate in a method for manufacturing a flash memory device having a dielectric layer consisting of at least one oxide film between the floating gate and a control gate. To this end, a Si—F bonding layer is formed on the surface of a silicon film constituting the floating gate. The Si—F bonding layer is annealed in a nitrogen gas atmosphere to form a Si—N bonding layer. A dielectric layer is then formed.
    Type: Grant
    Filed: June 29, 2004
    Date of Patent: January 10, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Wook Park
  • Patent number: 6979620
    Abstract: A method for fabricating a flash memory cell is provided. After an ONO dielectric layer is formed on a first conductive layer over a tunnel oxide layer, a second conductive layer is formed on the ONO dielectric layer. Then, patterning the second conductive layer to form a periphery region comprising an exposed portion of a semiconductor substrate and a memory cell region comprising the left second conductive layer. During the present process, the ONO dielectric layer is protected from being exposed in various solvents and gases with the second conductive layer. Thus, a flash memory cell with a high-quality ONO gate dielectric layer, without increasing complexity of the process and additional masks, is obtained.
    Type: Grant
    Filed: May 11, 2005
    Date of Patent: December 27, 2005
    Assignee: Macronix International Co., Ltd.
    Inventors: Chun-Pei Wu, Huei-Huarng Chen, Hong-Chi Chen, Hsuan-Ling Kao
  • Patent number: 6979619
    Abstract: In a first aspect of the present invention, a method of fabricating a flash memory device is disclosed. The method comprises the steps of providing a portion of a dual gate oxide in a periphery area of the memory device and then simultaneously providing a dual gate oxide in a core area of the memory device and completing the dual gate oxide in the periphery area. Finally, a nitridation process is provided in both the core and periphery areas subsequent to the previous steps. In a second aspect of the present invention, a flash memory device is disclosed. The flash memory device comprises core area having a plurality of memory transistors comprising an oxide layer, a first poly layer, an interpoly dielectric layer, and a second poly layer. The flash memory device further comprises a periphery area having a plurality of transistors comprising an oxide layer, a portion of the first poly layer, and the second poly layer.
    Type: Grant
    Filed: August 28, 2001
    Date of Patent: December 27, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Hao Fang, Yue-Song He, Mark S. Chang, Kent K. Chang
  • Patent number: 6974748
    Abstract: A semiconductor device includes a substrate divided into a memory cell region and a logic region. A split gate electrode structure is formed in a memory cell region of a substrate. A silicon oxide layer is formed on a sidewall of the split gate electrode structure and a surface of the substrate. A word line is formed on the silicon oxide layer that is positioned on the sidewall of the split gate electrode structure. The word line has an upper width and a lower width. The lower width is greater than the upper width. A logic gate pattern is formed on a logic region of the substrate. The logic gate pattern has a thickness thinner than the lower width of the word line.
    Type: Grant
    Filed: August 18, 2004
    Date of Patent: December 13, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-Ho Moon, Jae-Min Yu, Don-Woo Lee, Chul-Soon Kwon, In-Gu Yoon, Yong-Sun Lee, Jae-Hyun Park
  • Patent number: 6969652
    Abstract: Circuits and methods to design and to fabricate said circuits to accomplish a two-level DRAM cell or a multilevel DRAM cell using a natural transistor have been achieved. The usage of a natural transistor, having a threshold voltage of close to zero, as a pass transistor reduces the amount of current required for a read operation significantly. The usage of a natural transistor in a multi-level DRAM is enabling to implement easily a high number of voltage levels, and thus more information, in one DRAM cell and is reducing the amount of output current required as well. The fabrication of said DRAM cells in an integrated circuit, comprising a natural transistor and standard transistors, include masking of the natural transistor during the ion implantation to avoid impurities increasing the threshold voltage.
    Type: Grant
    Filed: July 8, 2003
    Date of Patent: November 29, 2005
    Assignee: Dialog Semiconductor GmbH
    Inventor: Horst Knoedgen
  • Patent number: 6964902
    Abstract: Nanoclusters are blanket deposited on an integrated circuit and then removed from regions where the nanoclusters are not desired. A sacrificial layer is formed in those regions where the nanoclusters are not desired prior to the blanket deposition. The nanoclusters and the sacrificial layer are then removed. In one form, the sacrificial layer includes a deposited nitride containing or oxide containing layer. Alternatively, the sacrificial layer includes at least one of a pad oxide or a pad nitride layer previously used to form isolation regions in the substrate. Nanocluster devices and non-nanocluster devices may then be integrated onto the same integrated circuit. The use of a sacrificial layer protects underlying layers thereby preventing the degradation of performance of the subsequently formed non-nanocluster devices.
    Type: Grant
    Filed: February 26, 2004
    Date of Patent: November 15, 2005
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Robert F. Steimle, Jane A. Yater, Gowrishankar L. Chindalore, Craig T. Swift, Steven G. H. Anderson, Ramachandran Muralidhar
  • Patent number: 6963106
    Abstract: According to one exemplary embodiment, a method for fabricating a floating gate memory array comprises a step of removing a dielectric material from an isolation region situated in a substrate to expose a trench, where the trench is situated between a first source region and a second source region, where the trench defines sidewalls in the substrate. The method further comprises implanting an N type dopant in the first source region, the second source region, and the sidewalls of the trench, where the N type dopant forms an N+ type region. The method further comprises implanting a P type dopant in the first source region, the second source region, and the sidewalls of the trench, where the P type dopant forms a P type region, and where the P type region is situated underneath the N+ type region.
    Type: Grant
    Filed: May 4, 2004
    Date of Patent: November 8, 2005
    Assignee: Spansion LLC
    Inventors: Richard Fastow, Yue-Song He, Kazuhiro Mizutani, Timothy Thurgate
  • Patent number: 6960506
    Abstract: A method of forming a memory device having a self-aligned contact is described. The method includes providing a substrate having a floating gate dielectric layer formed thereon, forming a floating poly gate layer on the floating gate dielectric layer, forming a first silicon nitride layer on the floating poly gate layer, and forming a patterned photoresist layer on the first silicon nitride layer. The method further includes etching the first silicon nitride layer and the floating poly gate layer using the patterned photoresist layer as an etch mask, forming an oxide layer over the exposed etched areas, removing the patterned photoresist layer and the first silicon nitride layer to expose the floating poly gate layer, forming poly spaces in the floating poly gate layer, and depositing a second silicon nitride layer over the poly spaces of the floating poly gate layer to form a self-aligned contact.
    Type: Grant
    Filed: November 13, 2003
    Date of Patent: November 1, 2005
    Assignee: Macronix International Co., Ltd.
    Inventors: Hung-Yu Chiu, Ming-Shang Chen, Wenpin Lu, Uway Tseng
  • Patent number: 6958271
    Abstract: The present invention relates to methods of fabricating dual-level flash memory cells. A first active region and a second active region are formed in a substrate. A trench is formed in the substrate between the first active region and the second active region. A first insulator dielectric is formed on the substrate and within the trench forming a vertical structure. A first poly layer is formed on the first insulator dielectric. A second insulator dielectric is formed on at least a portion of the first poly layer. A second poly layer is formed on the second insulator dielectric.
    Type: Grant
    Filed: August 4, 2003
    Date of Patent: October 25, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: James Pan, Ning Cheng, Christy Mein Chu Woo
  • Patent number: 6958270
    Abstract: The present invention provides microelectronic electrochemical structures and related fabrication methods. A composite microelectronic structure is provided that includes first and second conductors dielectrically isolated from one another at a crossing thereof, the crossing surrounded by a dielectric material. A portion of the dielectric material around the crossing of the first and second conductors is removed to form a well that exposes respective outer surfaces of the first and second conductors and a molecule is deposited in the well such that the deposited molecule contacts the exposed outer surfaces of the first and second conductors.
    Type: Grant
    Filed: April 4, 2003
    Date of Patent: October 25, 2005
    Assignee: North Carolina State University
    Inventors: Veena Misra, John Damiano, Jr.
  • Patent number: 6958269
    Abstract: A method for manufacturing a memory device includes forming an oxide layer adjacent a substrate. A floating gate layer is formed and disposed outwardly from the oxide layer. A dielectric layer is formed, such that it is disposed outwardly from the floating gate layer. Then, a conductive material layer is formed and disposed outwardly from the dielectric layer, wherein the conductive material layer forms a control gate that is substantially isolated from the floating gate layer by the dielectric layer.
    Type: Grant
    Filed: June 24, 2002
    Date of Patent: October 25, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Josef Czeslaw Mitros, Imran Khan, Lily Springer
  • Patent number: 6955967
    Abstract: A non-volatile memory (30) comprises nanocrystal memory cells (50, 51, 53). The program and erase threshold voltage of the memory cell transistors (50, 51, 53) increase as a function of the number of program/erase operations. During a read operation, a reference transistor (46) provides a reference current for comparing with a cell current. The reference transistor (46) is made from a process similar to that used to make the memory cell transistors (50, 51, 53), except that the reference transistor (46) does not include nanocrystals. By using a similar process to make both the reference transistor (46) and the memory cell transistors (50, 51, 53), a threshold voltage of the reference transistor (46) will track the threshold voltage shift of the memory cell transistor (50, 51, 53). A read control circuit (42) is provided to bias the gate of the reference transistor (46).
    Type: Grant
    Filed: June 27, 2003
    Date of Patent: October 18, 2005
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Gowrishankar L. Chindalore, Rajesh A. Rao, Jane A. Yater
  • Patent number: 6955966
    Abstract: A method of manufacturing a mask ROM for storing quaternary data enables short turn around time, makes refining cell sizes simple, and enables stable reading of data. Gaps are formed between word lines in the memory cell transistors and two diffusion areas in accordance with quaternary write data. A current runs between these diffusion areas only when one of these two areas which is adjacent a gap is used as a drain. Accordingly, quaternary data can be read by a first reading when the first diffusion area is a source and the other diffusion area is a drain, and by reading a second reading when the first diffusion area is used as a drain and the other diffusion area as a source.
    Type: Grant
    Filed: July 21, 2004
    Date of Patent: October 18, 2005
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Noboru Egawa, Hitoshi Kokubun
  • Patent number: 6949790
    Abstract: A semiconductor device is capable of being applied with both a positive and a negative voltage to its control gate, and writing to its memory requires a low voltage. A control gate is formed on a memory unit region of a field oxide film, and an inter-layer silicon oxide film is formed on its surface. A gate oxide film for a non-volatile memory is formed on a P substrate between N type diffusion layers. The floating gate is formed on the inter-layer silicon oxide film, the field oxide film, and the gate oxide film for the non-volatile memory. Since a large coupling ratio between the control gate and the floating gate is available on the field oxide film, memory rewriting requires only a low voltage. Further, since the control gate is formed by a poly silicon film, both a positive voltage and a negative voltage can be applied to the control gate.
    Type: Grant
    Filed: September 18, 2002
    Date of Patent: September 27, 2005
    Assignee: Ricoh Company, Ltd.
    Inventors: Moriya Iwai, Masaaki Yoshida, Hiroaki Nakanishi
  • Patent number: 6946353
    Abstract: A method for adjusting Vt while minimizing parasitic capacitance for low voltage high speed semiconductor devices. The method uses shadow effects and an angled punch through prevention implant between vertical structures to provide a graded implant. The implant angle is greater than or equal to arc tangent of S/H where S is the horizontal distance between, and H is the height of, such vertical structures.
    Type: Grant
    Filed: April 26, 2004
    Date of Patent: September 20, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Luan C. Tran
  • Patent number: 6946346
    Abstract: In a method for manufacturing a single electron memory device including a single electron storage element in a gate lamination pattern formed on a nano-scale channel region of a MOSFET, formation of the gate lamination pattern includes sequentially forming a lower layer and a single electron storage medium for storing a single electron tunneling through the lower layer on a substrate, forming an upper layer including a plurality of quantum dots on the single electron storage medium, forming a gate electrode layer on the upper layer to be in contact with the plurality of quantum dots, and patterning the lower layer, the single electron storage medium, the upper layer, and the gate electrode layer, in reverse order.
    Type: Grant
    Filed: October 9, 2003
    Date of Patent: September 20, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Soo-doo Chae, Byong-man Kim, Moon-kyung Kim, Hee-soon Chae, Won-il Ryu
  • Patent number: 6946343
    Abstract: A manufacturing method of an integrated chip. The integrated chip includes at least two devices with different functions. The method uses a first production line to form a first device on a semiconductor wafer and then uses a second production line to form a second device on the semiconductor wafer so as to complete the integrated chip.
    Type: Grant
    Filed: April 3, 2003
    Date of Patent: September 20, 2005
    Assignee: United Microelectronics Corp.
    Inventor: Fu-Tai Liou
  • Patent number: 6943083
    Abstract: A high density vertical merged MOS-bipolar-capacitor gain cell is realized for DRAM operation. The gain cell includes a vertical MOS transistor having a source region, a drain region, and a floating body region therebetween. The gain cell includes a vertical bi-polar transistor having an emitter region, a base region and a collector region. The base region for the vertical bi-polar transistor serves as the source region for the vertical MOS transistor. A gate opposes the floating body region and is separated therefrom by a gate oxide on a first side of the vertical MOS transistor. A floating body back gate opposes the floating body region on a second side of the vertical transistor. The base region for the vertical bi-polar transistor is coupled to a write data word line.
    Type: Grant
    Filed: November 17, 2004
    Date of Patent: September 13, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Patent number: 6939764
    Abstract: Concurrently forming self-aligned silicides on word lines and contacts of a memory device facilitates reduced resistance and/or reduced device sizing. The word-line silicide is formed at a stage significantly later than in standard processing, decreasing concerns of thermal stability of the silicide, thus allowing the use of lower-resistance silicides. In addition, by forming contacts to drain and/or source regions prior to forming the silicide for the word lines, aspect ratios for the contact holes or trenches are reduced for a given pitch, thus improving effectiveness of processing to remove material from these holes and trenches or allowing the use of a smaller pitch. By providing a process for the application of a silicide in array source interconnects, a single array source interconnect can couple an entire row of memory cells, thereby reducing the number of contacts made to an array ground.
    Type: Grant
    Filed: June 24, 2003
    Date of Patent: September 6, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Chun Chen, Graham Wolstenholme
  • Patent number: 6933194
    Abstract: A method of manufacturing a semiconductor device including forming a laminate structure which includes a gate insulation film on a semiconductor substrate and a gate electrode material film on the gate insulation film, processing the gate electrode material film to obtain a gate electrode having a reverse tapered cross section, and forming a device isolation insulation film in direct contact with a side surface of the gate electrode.
    Type: Grant
    Filed: September 26, 2003
    Date of Patent: August 23, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazuhito Narita, Eiji Sakagami, Hiroaki Tsunoda, Masahisa Sonoda, Hideyuki Kobayashi
  • Patent number: 6933195
    Abstract: A method of fabricating a flash memory device includes forming a device isolation layer at a predetermined region of a semiconductor substrate having a cell array region and a peripheral circuit region. The device isolation layer defines a first active region and a second active region in the cell array region and the peripheral circuit region, respectively. A gate conductive layer is formed on the entire surface of the semiconductor substrate having the device isolation layer. The gate conductive layer is patterned to form a floating gate pattern covering the first active region. At this time, the peripheral circuit region is still covered with the gate conductive layer. An inter-gate dielectric layer and a control gate conductive layer are formed on the entire surface of the substrate including the floating gate pattern.
    Type: Grant
    Filed: November 27, 2001
    Date of Patent: August 23, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Woon-kyung Lee
  • Patent number: 6933197
    Abstract: A non-volatile memory cell and a high voltage MOS transistor on the same semiconductor chip without changing the characteristic of the non-volatile memory cell. A gate insulating film of a MOS transistor is formed using the steps of forming an oxide film 12 formed on a floating gate 14 of a split-gate type non-volatile memory cell and of forming a tunneling insulating film 16 formed on the floating gate 14 and the oxide film 12. The gate insulating film 13 of the MOS transistor is formed by a stacked layer of the oxide film 12 and tunneling insulating film 16. Thus, the quantity of heat treatment in the entire production process undergoes no change, and the optimized characteristic of the non-volatile memory undergoes no change.
    Type: Grant
    Filed: June 7, 2001
    Date of Patent: August 23, 2005
    Assignee: Sanyo Electric Co., Ltd.
    Inventor: Izuo Iida
  • Patent number: 6930000
    Abstract: The manufacturing method of the invention is applied to production of a semiconductor device including a memory area and a logic circuit area. The method first provides a semiconductor substrate, which has an element separating region formed on surface of a semiconductor layer to attain insulation between semiconductor elements, a first conductive layer formed above the semiconductor layer and patterned to give a word gate of the non-volatile memory device, a stopper layer formed above the first conductive layer, and control gates formed as side walls via an ONO membrane on both side faces of the first conductive layer in the memory area. The method subsequently patterns the first conductive layer in the logic circuit area to create a gate electrode of an insulated gate field effect transistor, which constructs the peripheral circuit, in the logic circuit area and to create a dummy gate electrode above the element separating region in the logic circuit area.
    Type: Grant
    Filed: January 24, 2003
    Date of Patent: August 16, 2005
    Assignee: Seiko Epson Corporation
    Inventor: Yoshikazu Kasuya
  • Patent number: 6930001
    Abstract: Disclosed is a method for manufacturing a NAND flash device. After a source line plug hole is formed, a drain contact plug hole is formed. The holes are filled with a conductive material film and are then polished. It is therefore possible to simplify the process since a blanket etch process step is omitted. Moreover, loss of a drain contact plug by the blanket etch process is prevented. It is therefore possible to improve the electrical properties of a device and reduce the manufacturing cost price.
    Type: Grant
    Filed: June 24, 2004
    Date of Patent: August 16, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Min Chul Gil
  • Patent number: 6927435
    Abstract: A semiconductor device comprising a semiconductor substrate, gate insulators formed on the substrate, and gate electrodes formed on the gate insulators, the gate insulators which are mainly composed of a material selected from titanium oxide, zirconium oxide and hafnium oxide, and in which compressive strain is produced and equipped with MOS transistors, can suppress leakage current flowing through the gate insulators and has high reliability.
    Type: Grant
    Filed: January 14, 2002
    Date of Patent: August 9, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Hiroshi Moriya, Tomio Iwasaki, Hideo Miura, Shuji Ikeda
  • Patent number: 6927129
    Abstract: A method for fabricating a semiconductor device.
    Type: Grant
    Filed: April 8, 2004
    Date of Patent: August 9, 2005
    Assignee: Advanced Micro Devices
    Inventors: Yu Sun, Kuo-Tung Chang, Angela T. Hui, Shenqing Fang
  • Patent number: 6924155
    Abstract: A method of manufacturing a ferroelectric memory of the present invention includes applying pulsed laser light 70 to a ferroelectric capacitor 105 from above the ferroelectric capacitor in a state in which at least the ferroelectric capacitor 105 is formed over a substrate 10.
    Type: Grant
    Filed: August 12, 2003
    Date of Patent: August 2, 2005
    Assignee: Seiko Epson Corporation
    Inventors: Tatsuo Sawasaki, Eiji Natori, Tomokazu Kobayashi, Yasuaki Hamada
  • Patent number: 6924522
    Abstract: A floating gate transistor is formed by simultaneously creating buried contact openings on both EEPROM transistor gates and DRAM access transistor source/drain diffusions. Conventional DRAM process steps are used to form cell storage capacitors in all the buried contact openings, including buried contact openings on EEPROM transistor gates. An EEPROM transistor gate and its associated cell storage capacitor bottom plate together forms a floating gate completely surrounded by insulating material. The top cell storage capacitor plate on an EEPROM transistor is used as a control gate to apply programming voltages to the EEPROM transistor. Reading, writing, and erasing the EEPROM element are analogous to conventional floating-gate tunneling oxide (FLOTOX) EEPROM devices. In this way, existing DRAM process steps are used to implement an EEPROM floating gate transistor nonvolatile memory element.
    Type: Grant
    Filed: May 21, 2002
    Date of Patent: August 2, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Manny K. F. Ma, Yauh-Ching Liu
  • Patent number: 6924197
    Abstract: The present invention provides a flash memory integrated circuit and a method of fabricating the same. A tunnel dielectric in an erasable programmable read only memory (EPROM) device is nitrided with a hydrogen-bearing compound, particularly ammonia. Hydrogen is thus incorporated into the tunnel dielectric, along with nitrogen. The gate stack is etched and completed, including protective sidewall spacers and dielectric cap, and the stack lined with a barrier to hydroxyl and hydrogen species. Though the liner advantageously reduces impurity diffusion through to the tunnel dielectric and substrate interface, it also reduces hydrogen diffusion in any subsequent hydrogen anneal. Hydrogen is provided to the tunnel dielectric, however, in the prior exposure to ammonia.
    Type: Grant
    Filed: March 3, 2003
    Date of Patent: August 2, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Ronald A. Weimer
  • Patent number: 6921690
    Abstract: An EPROM structure includes a NMOS transistor integrated with a capacitor. The terminal names of the NMOS transistor follow the conventional nomenclature: drain, source, body and gate. The gate of the NMOS transistor is connected directly and exclusively to one of the capacitor plates. In this configuration, the gate is now referred to as the “floating gate”. The remaining side of the capacitor is referred to as the “control gate”.
    Type: Grant
    Filed: December 20, 2001
    Date of Patent: July 26, 2005
    Assignee: Intersil Americas Inc.
    Inventor: Michael David Church
  • Patent number: 6919242
    Abstract: By arranging floating spacer and gate non-volatile memory transistors in symmetric pairs, increased chip density may be attained. For each pair of such transistors, the floating gates are laterally aligned with floating spacers appearing on laterally outward edges of each floating gate. At laterally inward edges, the two transistors share a common drain electrode. The transistors are independent of each other except for the shared drain electrode. Tunnel oxide separated the floating spacer from the floating gate, but both the spacer and the gate are maintained at a common potential, thereby providing dual paths for charge exiting the tunnel oxide, as the charged is propelled by a programming voltage. The pairs of transistors can be aligned in columns with the direction of the columns orthogonal to the direction of the pairs, thereby forming a memory array.
    Type: Grant
    Filed: April 25, 2003
    Date of Patent: July 19, 2005
    Assignee: Atmel Corporation
    Inventor: Bohumil Lojek
  • Patent number: 6916711
    Abstract: An EEPROM memory cell and a method of forming the same are provided. A portion of a floating gate is formed on walls of a trench formed on the substrate. An inside of the trench is filled with a gate electrode layer constituting a sensing line. This leads to increases in opposite areas of a floating gate and a control gate of a sensing transistor, and a decrease in an area of the floating gate in the substrate.
    Type: Grant
    Filed: April 7, 2004
    Date of Patent: July 12, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Hyun-Khe Yoo
  • Patent number: 6916701
    Abstract: Disclosed is a method for fabricating a silicide layer of a flat cell memory device.
    Type: Grant
    Filed: September 5, 2002
    Date of Patent: July 12, 2005
    Assignee: DongbuAnam Semiconductor Inc.
    Inventor: Chang Hun Han
  • Patent number: 6916702
    Abstract: A gate process and a gate process for an embedded memory device. A semiconductor silicon substrate has a memory cell area and a logic circuit area. A first dielectric layer is formed overlying the semiconductor silicon substrate, and then a gate structure is formed overlying the first dielectric layer of the memory cell area. Next, a protective layer is formed overlying the first dielectric layer and the top and sidewall of the gate structure. Next, an insulating spacer is formed overlying the protective layer disposed overlying the sidewall of the gate structure. Next, a pre-cleaning process is performed to remove the protective layer and the first dielectric layer overlying the logic circuit area. Next, a second dielectric layer is formed overlying the logic circuit area, and then a gate layer is formed overlying the second dielectric layer of the logic circuit area.
    Type: Grant
    Filed: September 29, 2004
    Date of Patent: July 12, 2005
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Shih-Ming Chen, Hsiao-Ying Yang
  • Patent number: 6913971
    Abstract: Methods for transferring a layer of material from a source substrate having a zone of weakness onto a support substrate to fabricate a composite substrate are described. An implementation includes forming at least one recess in at least one of the source and support substrates, depositing material onto at least one of a front face of the source substrate and a front face of the support substrate, pressing the front faces of the source and support substrates together to bond the substrates, and detaching a transfer layer from the source substrate along the zone of weakness. When the front faces are pressed together, any excess material is received by the recess. The recess may advantageously include an opening in the front face of at least one of the source substrate and the support substrate.
    Type: Grant
    Filed: July 9, 2003
    Date of Patent: July 5, 2005
    Assignees: S.O.I. Tec Silicon on Insulator Technologies S.A., Commissariat à l'Energie Atomique (CEA)
    Inventors: Bernard Aspar, Séverine Bressot, Olivier Rayssac
  • Patent number: 6911690
    Abstract: A flash memory cell array comprises a substrate, a string of memory cell structures and source region/drain region. Each of memory cell structures includes a stack gate structure including a select gate dielectric layer, a select gate and a gate cap layer formed on the substrate; a spacer is set on the sidewall of the select gate; a control gate connected to the stack gate structure is set on the one side of the stack gate structure; a floating gate is set between the control gate and the substrate; an inter-gate dielectric layer is set between the control gate and the floating gate; and a tunneling dielectric layer is set between the floating gate and the substrate. The source region/drain region is set in the substrate near outer control gate and stack gate structure of the flash memory cell array.
    Type: Grant
    Filed: August 19, 2003
    Date of Patent: June 28, 2005
    Assignee: Powership Semiconductor Corp.
    Inventors: Cheng-Yuan Hsu, Chih-Wei Hung, Chi-Shan Wu, Min-San Huang