Having Additional, Nonmemory Control Electrode Or Channel Portion (e.g., For Accessing Field Effect Transistor Structure, Etc.) Patents (Class 438/266)
  • Publication number: 20030015751
    Abstract: A semiconductor memory device includes a first well of a second conductivity type formed in a surface portion of a semiconductor substrate of a first conductivity type and a second well of the first conductivity type formed in a surface portion of the first well. An element isolating insulation film to isolate a memory cell region from a peripheral region is formed in a surface portion of the second well. A cell transistor is provided in a region of the second well in the memory cell region. A first contact layer of the second conductivity type to provide the first well with a potential is formed in a surface portion of the first well in the peripheral region. A second contact layer of the first conductivity type to provide the second well with a potential is formed in a surface portion of the second well in the peripheral region.
    Type: Application
    Filed: July 18, 2002
    Publication date: January 23, 2003
    Inventor: Kazuaki Isobe
  • Patent number: 6509228
    Abstract: A method of forming floating gates for flash memory is disclosed to improve contact properties with erase gates. The method includes formation of a tunnel oxide layer, a polysilicon layer and an interpoly insulating layer. These layers are patterned in two dry etching steps to complete floating gate definition. In the first etching step, the interpoly insulating layer is etched open in an oxide chamber to form a taper opening. The taper opening is further deepened in the second etching step, in which the polysilicon layer and the tunnel oxide layer are etched open in sequence in a poly chamber. A contact with smooth, vertical surface profile is thus formed in the second etching step. The two-step dry etching procedure is found to provide good contact profile for the floating gate to facilitate subsequent oxide deposition and contact filling. The proposed etching procedure also makes substantial operation reduction for floating gate formation and thus advantageously costs down for flash memory production.
    Type: Grant
    Filed: August 29, 2000
    Date of Patent: January 21, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Gow-Wei Sun, Yann-Pyng Wu
  • Patent number: 6509222
    Abstract: A process for the manufacturing of electronic devices, including memory cells, involving forming, on a substrate of semiconductor material, multilayer stacks including a floating gate region, an intermediate dielectric region, and a control gate region; forming a protective layer extending on top of the substrate and between the multilayer stacks and having a height at least equal to the multilayer stacks. The step of forming multilayer stacks includes the step of defining the control gate region on all sides so that each control gate region is completely separate from adjacent control gate regions. The protective layer isolates the multilayer stacks from each other at the sides. Word lines of metal extend above the protective layer and are in electrical contact with the gate regions.
    Type: Grant
    Filed: November 22, 2000
    Date of Patent: January 21, 2003
    Assignee: STMicroelectronics S.r.l.
    Inventors: Alessandro Grossi, Cesare Clementi
  • Patent number: 6509602
    Abstract: Memory elements, switching elements, and peripheral circuits to constitute a nonvolatile memory are integrally formed on a substrate by using TFTs. Since semiconductor active layers of memory element TFTs are thinner than those of other TFTs, impact ionization easily occurs in channel regions of the memory element TFFs. This enables low-voltage write/erase operations to be performed on the memory elements, and hence the memory elements are less prone to deteriorate. Therefore, a nonvolatile memory capable of miniaturization can be provided.
    Type: Grant
    Filed: November 20, 2001
    Date of Patent: January 21, 2003
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Jun Koyama, Keisuke Hayashi
  • Publication number: 20030013255
    Abstract: Disclosed is a self-aligned non-volatile memory cell comprising a small sidewall spacer electrically coupled and being located next to a main floating gate region. Both the small sidewall spacer and the main floating gate region are formed on a substrate and both form the floating gate of the non-volatile memory cell. Both are isolated electrically from the substrate by an oxide layer which is thinner between the small sidewall spacer and the substrate; and is thicker between the main floating gate region and the substrate. The small sidewall spacer can be made small; therefore, the thin oxide layer area can also be made small to create a small pathway for electrons to tunnel into the floating gate.
    Type: Application
    Filed: September 6, 2002
    Publication date: January 16, 2003
    Inventors: Bohumil Lojek, Alan L. Renninger
  • Publication number: 20030008458
    Abstract: A semiconductor integrated circuit device includes a substrate, a nonvolatile memory device formed in a memory cell region of the substrate, and a semiconductor device formed in a device region of the substrate. The nonvolatile memory device has a multilayer gate electrode structure including a tunnel insulating film and a floating gate electrode formed thereon. The floating gate electrode has sidewall surfaces covered with a protection insulating film. The semiconductor device has a gate insulating film and a gate electrode formed thereon. A bird's beak structure is formed of a thermal oxide film at an interface of the tunnel insulating film and the floating gate electrode, the bird's beak structure penetrating into the floating gate electrode along the interface from the sidewall faces of the floating gate electrode, and the gate insulating film is interposed between the substrate and the gate electrode to have a substantially uniform thickness.
    Type: Application
    Filed: February 27, 2002
    Publication date: January 9, 2003
    Applicant: Fujitsu Limited
    Inventors: Hiroshi Hashimoto, Koji Takahashi
  • Publication number: 20030003664
    Abstract: As wiring patterns in a region for connecting two line & space pattern sets having different line & space widths on a semiconductor substrate, even-numbered line patterns in a region having a smaller line & space width are connected to line patterns in a region having a larger line & space width and thicken their line widths stepwise in the middle of the lengthwise direction, and odd-numbered line patterns in the region having the smaller line & space width terminate at different positions in a connection region. Upon forming a fine wiring pattern on the connection region using photolithography, the resolution and depth of focus can be suppressed from impairing.
    Type: Application
    Filed: August 13, 2002
    Publication date: January 2, 2003
    Inventors: Yuji Takeuchi, Fumitaka Arai
  • Patent number: 6500712
    Abstract: To form substrate isolation for a nonvolatile memory, floating gate polysilicon (410) is formed over a semiconductor substrate (110), then silicon nitride (130) is deposited, and then the nitride, the floating gate polysilicon and the substrate are etched to form isolation trenches (140). Dielectric (150) is formed in the trenches and over the silicon nitride. The dielectric thickness is relatively small so that the top surface (150T) of the dielectric over the trenches lies at all times below the top surface of silicon nitride. The dielectric deposition and polishing times are therefore reduced. Other embodiments are also provided.
    Type: Grant
    Filed: June 17, 2002
    Date of Patent: December 31, 2002
    Assignee: Mosel Vitelic, Inc.
    Inventor: Kuo-Chun Wu
  • Publication number: 20020197800
    Abstract: A semiconductor integrated circuit that includes thereon a flash memory and a plurality of MOS transistors using different power supply voltages is formed by a process in which a thermal oxidation process is applied to one of the device regions while covering the other device regions by an oxidation-resistant film.
    Type: Application
    Filed: September 24, 2001
    Publication date: December 26, 2002
    Applicant: Fujitsu Limited
    Inventors: Hiroshi Hashimoto, Koji Takahashi
  • Publication number: 20020195646
    Abstract: A nonvolatile memory comprises a substrate having trenches formed therein, a first dielectric layer is formed on said substrate. Protruding isolations are formed in said trenches and protruding over a surface of said substrate, thereby forming cavity between thereof. A first conductive layer is formed on said first dielectric layer and in said cavity. A second dielectric layer is formed on said second conductive layer and a second conductive layer is formed on said second dielectric layer as a control gate.
    Type: Application
    Filed: June 25, 2001
    Publication date: December 26, 2002
    Inventor: Horng-Huei Tseng
  • Patent number: 6489200
    Abstract: A method of forming a capacitor on a substrate includes forming a first polysilicon layer overlying the substrate to define a floating gate. A second polysilicon overlying the first polysilicon layer is formed to define a control gate and a first electrode of the capacitor. A dielectric layer is formed over the second polysilicon layer. A third polysilicon layer is formed over the dielectric layer. The third polysilicon layer is etched to define a second electrode of the capacitor. Thereafter the dielectric layer is etched.
    Type: Grant
    Filed: July 11, 2000
    Date of Patent: December 3, 2002
    Assignee: Winbond Electronics Corporation
    Inventors: Len-Yi Leu, Chun-Mai Liu, Ken Su, Albert V. Kordesch
  • Patent number: 6486508
    Abstract: A non-volatile semiconductor memory device and the fabricating method thereof, wherein control gates respectively formed at the active areas of the resultant structure for getting a corresponding pair of split floating gates continuously overlapped and buried diffusion areas formed at the substrate of the periphery of the field insulating layer positioned between neighboring source areas to prevent the source areas from being electrically disconnected by the field insulating layer, even if the floating gate pattern and the control gate pattern are respectively made by separate processes, so that there will be no mismatching between the aforementioned two patterns, thereby leading to no tendency of showing different characteristics of memory cells in accordance with odd/even numbered word lines, the schematic characteristic of cells makes it possible to program and erase a byte, and one contact hole is not used at each bit line, the number of contact holes gets small, thereby making it possible to scale down c
    Type: Grant
    Filed: May 10, 2000
    Date of Patent: November 26, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Yong-Kyu Lee
  • Patent number: 6486032
    Abstract: A method for fabricating the control gate and floating gate of a flash memory cell. An active area is firstly formed on a semiconductor substrate, followed by the formation of a first insulating layer, a first conductive layer and a first masking layer. A first opening is then formed by partially removing the first masking layer, and a floating gate oxide layer is formed by oxidation. The remaining first masking layer is removed, followed by forming a sacrificial layer, which is then partially removed to define a second opening. The remaining sacrificial layer is used as a hard mask to partially remove the first conductive layer and the first insulating layer to form a third opening. A second insulating layer is formed to fill the third opening to form an insulating plug. Part of the first conductive layer and the first insulating layer are removed to form a floating gate, followed by forming a third insulating layer and a second conductive layer.
    Type: Grant
    Filed: June 18, 2002
    Date of Patent: November 26, 2002
    Assignee: Nanya Technology Corporation
    Inventors: Chih-Hui Lin, Chung-Lin Huang
  • Patent number: 6479347
    Abstract: A simplified DSCP process makes non-self-aligned floating gate semiconductor memory cells of the FLOTOX EEPROM type as incorporated to a cell matrix having control circuitry associated therewith, wherein each cell has a selection transistor associated therewith. The process includes at least the following steps: growing or depositing a gate dielectric layer of the selection transistor and the cell; tunnel masking to define the tunnel area with a dedicated etching step for cleaning the semiconductor surface; growing the tunnel oxide; depositing and doping the first polysilicon layer poly1.
    Type: Grant
    Filed: October 14, 1999
    Date of Patent: November 12, 2002
    Assignee: STMicroelectronics S.r.l.
    Inventors: Giovanna Dalla Libera, Bruno Vajana
  • Patent number: 6479351
    Abstract: Disclosed is a self-aligned non-volatile memory cell comprising a small sidewall spacer electrically coupled and being located next to a main floating gate region. Both the small sidewall spacer and the main floating gate region are formed on a substrate and both form the floating gate of the non-volatile memory cell. Both are isolated electrically from the substrate by an oxide layer which is thinner between the small sidewall spacer and the substrate; and is thicker between the main floating gate region and the substrate. The small sidewall spacer can be made small; therefore, the thin oxide layer area can also be made small to create a small pathway for electrons to tunnel into the floating gate.
    Type: Grant
    Filed: November 30, 2000
    Date of Patent: November 12, 2002
    Assignee: Atmel Corporation
    Inventors: Bohumil Lojek, Alan L. Renninger
  • Patent number: 6475861
    Abstract: A semiconductor device and fabrication method thereof restrains an amplified current between input voltage Vin and ground voltage Vss, and first and second n-wells are biased into internal voltage sources, whereby the current-voltage characteristic of the input pad becomes stabilized during an open/short checkup of a semiconductor device. The semiconductor device includes a semiconductor substrate having a plurality of device isolation regions, first and second n-wells horizontally spaced from either of the plurality of device isolation regions, a p-channel transistor formed in the second n-well, an input protection transistor horizontally spaced from the first n-well and the device isolation region, on a symmetrical portion by the first n-well to the second n-well, and a guard ring formed between the first n-well and the input protection transistor.
    Type: Grant
    Filed: September 28, 2000
    Date of Patent: November 5, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Chang Soo Lee
  • Patent number: 6469341
    Abstract: A method and resulting integrated circuit device (100) such as a flash memory device and resulting cell. The method includes a step of providing a substrate (115), which has an active region overlying a thin layer of dielectric material (113). The method uses a step of forming a floating gate layer (107) overlying the thin layer of dielectric material (113), which is commonly termed a “tunnel oxide” layer, but is not limited to such a layer or material. The floating gate layer (107) has novel geometric features including slant edges (121), which extend to the dielectric material (123). The slant edges (121) create a smaller geometric area for the tunnel oxide region relative to the area between the floating gate layer and the control gate layer.
    Type: Grant
    Filed: July 25, 2000
    Date of Patent: October 22, 2002
    Assignee: Mosel Vitelic, Inc.
    Inventors: Kuo-Tung Sung, Ray C. Lee
  • Patent number: 6465293
    Abstract: A method of manufacturing a flash memory cell is disclosed. The method comprises the steps of forming an oxide film on a semiconductor substrate in which a device separation film is formed and then patterning the oxide film to expose the semiconductor substrate at a portion in which a floating gate will be formed; sequentially forming a tunnel oxide film and a first polysilicon layer on the entire structure, and then flattening the first polysilicon layer until the tunnel oxide film is exposed to form a floating gate; etching the tunnel oxide film and the oxide film in the exposed portion to a given thickness and the forming a dielectric film on the entire structure; sequentially forming a second polysilicon layer, a tungsten silicide layer and a hard mask and then patterning them to form a control gate; and injecting impurity ions into the semiconductor substrate at the both sides of the floating gate to form a junction region.
    Type: Grant
    Filed: June 15, 2001
    Date of Patent: October 15, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Soo Young Park, Jung II Cho
  • Patent number: 6465331
    Abstract: A DRAM having bi-level digit lines is fabricated on a silicon-on-insulator (“SOI”) substrate. More specifically, the digit lines of each complimentary digit line pair are positioned on opposite sides of the SOI substrate. In one embodiment, digit lines are formed between memory cell capacitors, and in a second embodiment, digit lines are formed above the capacitors.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: October 15, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Brent Keeth, Charles H. Dennison
  • Patent number: 6461917
    Abstract: A memory device, a manufacturing method thereof, and an integrated circuit thereof are provided for storing information over a long period of time even if the memory device is manufactured at low temperatures. On a substrate made of glass, etc., a memory transistor and a selection transistor are formed, with a silicon nitride film and a silicon dioxide film in between. The memory transistor and the selection transistor are connected in series at a second impurity region. The conduction region for memory of the memory transistor is made of non-single crystal silicon and a storage region comprises a plurality of dispersed particulates made of non-single crystal silicon. Therefore, electrical charges can be stored partially if a tunnel insulating film has any defects. The tunnel insulating film is formed by exposing the surface of the conduction region for memory to the ionized gas containing oxygen atoms.
    Type: Grant
    Filed: June 25, 2001
    Date of Patent: October 8, 2002
    Assignee: Sony Corporation
    Inventors: Kazumasa Nomoto, Dharam Pal Gosain, Setsuo Usui, Takashi Noguchi
  • Publication number: 20020142543
    Abstract: A method for manufacturing a split-gate flash memory cell, comprising the steps of forming an active region on a semiconductor substrate; forming a buffer layer on the semiconductor substrate; forming a first dielectric layer on the buffer layer; removing part of the first dielectric layer; defining an opening; removing the buffer layer within the opening; forming a gate insulating layer and floating gates; forming a source region in the semiconductor substrate; depositing a conformal second dielectric layer on the opening; removing the buffer layer outside the first dielectric layer and the floating gates; and forming an oxide layer and control gates.
    Type: Application
    Filed: June 15, 2001
    Publication date: October 3, 2002
    Inventors: Chi-Hui Lin, Chung-Lin Huang, Cheng-Chih Huang
  • Patent number: 6458655
    Abstract: A semiconductor manufacturing method is mainly contemplated, improved to prevent an altered surface layer of a resist from being removed when a single patterned resist is used to provide dry-etch and wet-etch successively. On a semiconductor substrate an insulation film and a conductive layer are formed successively. On the conductive layer a patterned resist is formed. With the patterned resist used as a mask, the conductive layer is dry-etched. A surface layer of the patterned resist is partially removed. With the patterned resist used as a mask, the insulation film is wet-etched.
    Type: Grant
    Filed: June 7, 2000
    Date of Patent: October 1, 2002
    Assignees: Mitsubishi Denki Kabushiki Kaisha, Ryoden Semiconductor System Engineering
    Inventors: Kojiro Yuzuriha, Shu Shimizu, Tamotsu Tanaka, Takashi Yano
  • Patent number: 6459114
    Abstract: In an EEPROM consisting of a NAND cell in which a plurality of memory cells are connected in series, the control gate voltage Vread of the memory cell in a block selected by the data read operation is made different from the each of the voltages Vsg1, Vsg2 of the select gate of the select transistor in the selected block so as to make it possible to achieve a high speed reading without bringing about the breakdown of the insulating film interposed between the select gate and the channel of the select transistor. The high speed reading can also be made possible in the DINOR cell, the AND cell, NOR cell and the NAND cell having a single memory cell connected thereto, if the control gate voltage of the memory cell is made different from the voltage of the select gate of the select transistor.
    Type: Grant
    Filed: October 26, 2001
    Date of Patent: October 1, 2002
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroshi Nakamura, Kenichi Imamiya
  • Patent number: 6455387
    Abstract: There are contained the steps of forming an undoped or low impurity concentration amorphous silicon film to project from an upper surface of a first insulating film, introducing selectively impurity into an uppermost surface of the amorphous silicon film to form the uppermost surface of the amorphous silicon film as a high concentration impurity region, forming hemispherical grained silicon on the uppermost surface of the amorphous silicon film at a first density and on a side surface at a second density higher than the first density by exposing the amorphous silicon film to a silicon compound gas and then annealing the amorphous silicon film in a low pressure atmosphere, and introducing the impurity into the hemispherical grained silicon and the amorphous silicon film. Accordingly, a semiconductor device having a capacitor, in which a cylindrical storage electrode from an upper surface of which silicon projections are difficult to come off is formed, can be provided.
    Type: Grant
    Filed: December 13, 2000
    Date of Patent: September 24, 2002
    Assignee: Fujitsu Limited
    Inventor: Masaki Kuramae
  • Patent number: 6451654
    Abstract: The present invention provides a process for fabricating a self-aligned split gate flash memory. First, a patterned gate oxide layer, a first patterned polysilicon layer, and a first patterned mask layer are successively formed on a semiconductor substrate, and a first insulating spacer is formed on their sidewalls. Then, shallow trench isolation (STI) is formed in the substrate using the first patterned mask layer and the first insulating spacer as a mask. Then, the first patterned mask layer and a part of the first insulating spacer are removed to expose the first patterned polysilicon layer. A floating gate region is defined on the first patterned polysilicon layer, and the surface of the first polysilicon layer in the floating gate region is selectively oxidized to form polysilicon oxide layer. Then, the polysilicon oxide layer is used as a mask to remove the underlying first polysilicon layer in a self-aligned manner to form a floating gate.
    Type: Grant
    Filed: December 18, 2001
    Date of Patent: September 17, 2002
    Assignee: Nanya Technology Corporation
    Inventors: Chi-Hui Lin, Chung-Lin Huang, Yung-Meng Huang
  • Patent number: 6451653
    Abstract: A process for the integration in a semiconductor chip of an integrated circuit including a high-density integrated circuit components portion and a high-performance logic integrated circuit components portion, providing for: over a semiconductor substrate, insulatively placing a silicidated polysilicon layer that includes a polysilicon layer selectively doped in accordance to a conductivity type of at least the high-performance logic integrated circuit components, covered by a silicide layer; selectively covering the silicidated polysilicon layer with a hard mask; defining gate structures for the high-density integrated circuit components and for the high-performance logic integrated circuit components using said hard mask, the gate structures comprising the silicidated polysilicon layer covered with the hard mask; in a dielectric layer formed over the chip, forming contact openings for electrically contacting the high-density integrated circuit components and the high-performance logic integrated circuit com
    Type: Grant
    Filed: May 15, 2001
    Date of Patent: September 17, 2002
    Assignee: STMicroelectronics S.r.l.
    Inventor: Alfonso Maurelli
  • Patent number: 6451652
    Abstract: A method for forming an EEPROM cell together with transistors for peripheral circuits is disclosed. The method results in having a predetermined amount of material remaining proximate to the edge of the electrode, thereby forming a structure that extends a short distance beyond the sides of the electrode. An additional method for forming an trilayer EEPROM cell together with transistors for peripheral circuits is also disclosed, which results trilayer layer being restricted to covering the electrode and a small proximate region extending over the substrate surface. Two shoulders may also be etched into the sidewalls of the oxide layer which lie along the edges of said electrode.
    Type: Grant
    Filed: September 7, 2000
    Date of Patent: September 17, 2002
    Assignees: The John Millard and Pamela Ann Caywood 1989 Revocable Living Trust, Virtual Silicon Technology, Inc.
    Inventors: John Caywood, Gregorio Spadea
  • Publication number: 20020127805
    Abstract: A method of manufacturing a semiconductor integrated circuit device having nonvolatile semiconductor memory devices includes the following steps (a) to (k): (a) A step of forming an element isolation region, (b) a step of forming a first gate insulating layer and a laminate including a first conductive layer for a word gate and having a plurality of openings extending in a first direction, (c) a step of forming second gate insulating layers, (d) a step of forming side insulating layers on both sides of the first conductive layer, (e) a step of forming a second conductive layer over the entire surface, (f) a step of forming a first mask layer at least in a region in which a common contact section is formed, (g) a step of anisotropically etching the second conductive layer, thereby forming first and second control gates in the shape of sidewalls and forming a contact conductive layer at least in a region in which the common contact section is formed, (h) a step of forming an impurity diffusion layer which forms
    Type: Application
    Filed: January 23, 2002
    Publication date: September 12, 2002
    Applicant: SEIKO EPSON CORPORATION
    Inventors: Akihiko Ebina, Yutaka Maruo
  • Patent number: 6448605
    Abstract: A method of fabricating a gate is described. A first dielectric layer having a first opening is formed on a substrate. A gate dielectric layer is formed in the opening. A lower portion of a floating gate is formed on the gate dielectric layer. A source/drain region is formed in the substrate beside the lower portion of the floating gate. A conductive layer is formed on the first dielectric layer to completely fill the first opening. The conductive layer is patterned to form a second opening in the conductive layer. The second opening is above the first opening and does not expose the first dielectric layer. The second opening has a tapered sidewall and a predetermined depth. A mask layer is formed to cover the conductive layer and fill the second opening. The mask layer outside the second opening is removed to expose the conductive layer. A portion of the mask layer is removed to leave a first etching mask layer in the second opening.
    Type: Grant
    Filed: August 8, 2001
    Date of Patent: September 10, 2002
    Assignee: Macronix International Co. Ltd.
    Inventor: Ching-Yu Chang
  • Patent number: 6445035
    Abstract: An MOS power device a substrate comprises an upper layer having an upper surface and an underlying drain region, a well region of a first conductance type disposed in the upper layer over the drain region, and a plurality of spaced apart buried gates, each of which comprises a trench that extends from the upper surface of the upper layer through the well region into the drain region. Each trench comprises an insulating material lining its surface, a conductive material filling its lower portion to a selected level substantially below the upper surface of the upper layer, and an insulating material substantially filling the remainder of the trench. A plurality of highly doped source regions of a second conductance type are disposed in the upper layer adjacent the upper portion of each trench, each source region extending from the upper surface to a depth in the upper layer selected to provide overlap between the source regions and the conductive material in the trenches.
    Type: Grant
    Filed: July 24, 2000
    Date of Patent: September 3, 2002
    Assignee: Fairchild Semiconductor Corporation
    Inventors: Jun Zeng, Gary M. Dolny, Christopher B. Kocon, Linda S. Brush
  • Patent number: 6440796
    Abstract: A dual-gate cell structure with self-aligned gates. A polysilicon spacer forms a second gate (213) separated from a first gate (201), which is also polysilicon, by a dielectric layer (207). A drain region (219) and a source region (221) are formed next to the gates within a shallower well. The shallower well is positioned above a deep well region. In one embodiment, the second gate (213) acts as a floating gate in a flash cell. The floating gate may be programmed and erased by the application of appropriate voltage levels to the first gate (201), source (221), and/or drain (219). The self-aligned nature of the second gate (213) to the first gate (201) allows a very small dual-gate cell to be formed.
    Type: Grant
    Filed: March 30, 2001
    Date of Patent: August 27, 2002
    Assignee: Mosel Vitelic, Inc.
    Inventor: Kuo-Tung Sung
  • Patent number: 6441429
    Abstract: A split gate electrode MOS FET device includes a tunnel oxide layer formed over a semiconductor substrate. Over the tunnel oxide layer, a doped first polysilicon layer is formed with a top surface. A native oxide which forms over the doped first polysilicon layer may have been removed as an option. On the top surface of the first polysilicon layer, a silicon nitride layer was etched to form it into a cell-defining layer. A polysilicon oxide dielectric cap was formed over the top surface of the first polysilicon layer. Aside from the polysilicon oxide cap, the first polysilicon layer and the tunnel oxide layer were formed into a floating gate electrode stack in the pattern of the masking cap forming a sharp peak on the periphery of the floating gate electrode. Spacers are formed on the sidewalls of the gate electrode stack. Blanket inter-polysilicon dielectric and blanket control gate layers cover exposed portions of the substrate and the stack.
    Type: Grant
    Filed: July 21, 2000
    Date of Patent: August 27, 2002
    Assignee: Taiwan, Semiconductor Manufacturing Company
    Inventors: Chia-Ta Hsieh, Hung-Cheng Sung, Yai-Fen Lin, Di-Son Kuo
  • Patent number: 6440798
    Abstract: The present invention gives a method for creating a NROM memory from a semiconductor substrate. Numerous process steps are included to achieve this including forming shallow trench isolation areas, many ion implantation processes, ROM code implantation processes, photolithography and creation of layers and removal of layers. At the end of the process a mixed-signal circuit embedded NROM and NROM memory are created.
    Type: Grant
    Filed: July 26, 2001
    Date of Patent: August 27, 2002
    Assignee: Macronix International Co. Ltd.
    Inventors: Erh-Kun Lai, Chien-Hung Liu, Shou-Wei Huang, Shyi-Shuh Pan, Ying-Tso Chen
  • Patent number: 6436769
    Abstract: The present invention provides a flash memory having a split gate structure and virtual ground array structure, wherein a high impurity concentration region of a first conductivity type is provided in a drain adjacent region of a channel region under a floating gate electrode, and the high impurity concentration region has a highest impurity concentration in the channel region, and wherein a low impurity concentration region of a first conductivity type is provided in the channel region but at a part not covered by the floating gate.
    Type: Grant
    Filed: December 28, 2001
    Date of Patent: August 20, 2002
    Assignee: NEC Corporation
    Inventor: Kohji Kanamori
  • Patent number: 6436768
    Abstract: One aspect of the present invention relates to a method of forming a SONOS type non-volatile semiconductor memory device, involving forming a first layer of a charge trapping dielectric on a semiconductor substrate; forming a second layer of the charge trapping dielectric over the first layer of the charge trapping dielectric on the semiconductor substrate; optionally at least partially forming a third layer of the charge trapping dielectric over the second layer of the charge trapping dielectric on the semiconductor substrate; optionally removing the third layer of the charge trapping dielectric; forming a source/drain mask over the charge trapping dielectric; implanting a source/drain implant through the charge trapping dielectric into the semiconductor substrate; optionally removing the third layer of the charge trapping dielectric; and one of forming the third layer of the charge trapping dielectric over the second layer of the charge trapping dielectric on the semiconductor substrate, reforming the third
    Type: Grant
    Filed: June 27, 2001
    Date of Patent: August 20, 2002
    Assignees: Advanced Micro Devices, Inc., Fujitsu Limited
    Inventors: Jean Yee-Mei Yang, Mark T. Ramsbey, Emmanuil Manos Lingunis, Yider Wu, Tazrien Kamal, Yi He, Edward Hsia, Hidehiko Shiraiwa
  • Patent number: 6432771
    Abstract: A method of manufacturing DRAM cells in a substrate, including the steps of: depositing a first conductor in first openings in a first insulator partially exposing source/drain regions; opening a second insulator to partially expose the first openings contacting the source/drain regions, depositing a second conductor, then a third insulator, delimiting in the third insulator and second conductor bit lines of the memory cells, and forming lateral spacers on the sides of the bit lines; opening a fourth insulator to partially expose the first openings in contact with the drain/source regions of the transistors; depositing and etching a third conductor; conformally depositing a dielectric; and depositing a third conductor.
    Type: Grant
    Filed: November 3, 1999
    Date of Patent: August 13, 2002
    Assignee: STMicroelectronics SA
    Inventor: Jérôme Ciavatti
  • Patent number: 6429073
    Abstract: Embodiments include a method for manufacturing a semiconductor device including a plurality of non-volatile memory transistors that include field effect transistors operated at a plurality of different voltage levels. The method includes the following steps: (a) forming a gate insulation layer 26 and a floating gate 40 of a non-volatile memory transistor 400 on a silicon substrate 10 in a memory region 4000; (b) forming, on the wafer, a first silicon oxide layer 50aL by a thermal oxidation method and a second silicon oxide layer 50bL by a CVD method; (c) removing the first and the second silicon oxide layers in the first transistor region; and (d) forming a silicon oxide layer 20L on the wafer by a thermal oxidation method. The silicon oxide layer formed in step (d) compose at least a portion of a gate insulation layer of a first voltage-type transistor and a gate insulation layer of a second voltage-type transistor.
    Type: Grant
    Filed: June 23, 2000
    Date of Patent: August 6, 2002
    Assignee: Seiko Epson Corporation
    Inventors: Tomoyuki Furuhata, Atsushi Yamazaki
  • Publication number: 20020102799
    Abstract: EEPROM and FLASH memory cells are formed together in integrated production. A gate finger is used for implementing a homogeneous tunnel diffusion region for the EEPROM memory cell. This allows the different memory cells to be produced in a particularly simple and inexpensive manner.
    Type: Application
    Filed: April 16, 2001
    Publication date: August 1, 2002
    Inventors: Peter Wawer, Elard Stein Von Kamienski, Christoph Ludwig
  • Patent number: 6426257
    Abstract: In a flash memory that has a floating gate, a control gate, and an erase gate that are all mutually insulated, in which data erasing is performed by extracting electrons from the corner edge of the floating gate to the erase gate via an insulation film, the insulation film between the floating gate and the erase gate is formed so as to have a uniform thickness at its corner part.
    Type: Grant
    Filed: April 19, 2000
    Date of Patent: July 30, 2002
    Assignee: NEC Corporation
    Inventor: Kohji Kanamori
  • Patent number: 6426898
    Abstract: A method of erasing memory cells in a flash memory device that recombines holes trapped in the tunnel oxide (after an erase operation) with electrons passing through the tunnel oxide is disclosed. The method uses an erase operation that over-erases all memory cells undergoing the erase operation. A cell healing operation is performed on the over-erased cells. The healing operation causes electrons to pass through the tunnel oxide and recombine with trapped holes. The recombination substantially reduces the trapped holes within the tunnel oxide without reducing the speed of the erase operation. Moreover, by reducing trapped holes, charge retention, overall performance and endurance of the flash memory cells are substantially increased.
    Type: Grant
    Filed: March 5, 2001
    Date of Patent: July 30, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Andrei Mihnea, Jeffrey Kessenich, Chun Chen
  • Patent number: 6417044
    Abstract: In a non-volatile memory, memory cells have respective floating gates formed of a first polysilicon and respective control gates formed of a second polysilicon. Further, in the non-volatile memory, peripheral circuits include transistors having respective gates formed of the first polysilicon. In addition, a silicide layer is formed directly on the control gates of the memory cells and directly on the gates of the transistors.
    Type: Grant
    Filed: June 19, 2000
    Date of Patent: July 9, 2002
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Takashi Ono
  • Patent number: 6417049
    Abstract: In this invention polysilicon sidewalls on a semiconductor substrate are used as split gate flash memory cells. The sidewalls are formed around a core of silicon nitride and left standing once the silicon nitride is removed. Bit lines are implanted into the semiconductor substrate and extend partially under the sidewalls to allow the operation of the floating gates with respect to the buried bit line which act as drains and sources. A control gate is deposited over a row of sidewalls orthogonal to the bit lines and extending the length of a flash memory word line. The polysilicon sidewall split gate flash memory cells are programmed, read and erased by a combination of voltages applied to the control gate and the bit lines partially underlying the sidewalls.
    Type: Grant
    Filed: February 1, 2000
    Date of Patent: July 9, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Hung-Cheng Sung, Di-Son Kuo, Chia-Ta Hsieh, Yai-Fen Lin
  • Patent number: 6410957
    Abstract: A method is disclosed for forming a split gate flash memory cell having a thin floating gate and a sharp poly tip in order to improve the erasing and programming speed of the cell. The method involves the use of an oxide other than the poly oxide that is conventionally employed in forming the floating gate, and also using to advantage a so-called “smiling effect” which is normally taught away. The smiling effect, or an uneven thickening of an oxide layer, comes into play while growing interpoly oxide where concurrently the oxidation of the polysilicon gate advances in such a manner so as to form a sharp and reliable poly tip. The invention is also directed to providing a split gate flash memory cell having a thin floating gate and a poly tip therein.
    Type: Grant
    Filed: November 16, 2000
    Date of Patent: June 25, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chia-Ta Hsieh, Di-Son Kuo, Yai-Fen Lin, Hung-Cheng Sung
  • Patent number: 6406961
    Abstract: A process for producing a memory structure is disclosed. According to the process, an insulating portion and a conductive portion are formed with substantially equal thickness, and arranged in an alternate way to be a field oxide structure and a floating gate structure. This can be achieved by applying a conductive layer first, creating a trench in the conductive layer, filling the trench with an insulating material, and polishing the resulting layer. Because the insulating portion is formed adjacent to the conductive portion by filling the insulating material in the trench adjacent to the conductive portion, the field oxide structure and the floating gate structure are self-aligned while forming. Accordingly, no mis-alignment occurs, and thus the integration of the device can be improved.
    Type: Grant
    Filed: December 7, 2000
    Date of Patent: June 18, 2002
    Assignee: Winbond Electronics Corporation
    Inventor: Bin-Shing Chen
  • Patent number: 6403406
    Abstract: A double level gate layer with an undercut lower gate layer can be formed by using the etching rate difference between the upper gate layer and the lower gate layer in a polycrystalline Si type TFT LCD that has P-channel TFTs and N-channel TFTs. An LDD structure can be easily formed by using an upper gate layer as ion implant mask during the N-type ion implantation. LDD size is decided by the skew size between the upper gate layer and the lower gate layer. Furthermore, a photolithography step necessary for masking the ion implantation can be skipped.
    Type: Grant
    Filed: February 27, 2001
    Date of Patent: June 11, 2002
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Joo-Hyung Lee, Mun-Pyo Hong, Chan-Joo Youn, Byung-Hoo Jung, Chang-Won Hwang
  • Patent number: 6387756
    Abstract: The present invention relates to a method of manufacturing a non-volatile semiconductor device having a structure in which layers of a first insulating film, a first polysilicon layer, a second insulating film and a second polysilicon layer are formed, in this order, on a semiconductor substrate; which comprises the steps of forming the first insulating film on the semiconductor substrate and thereafter forming the first polysilicon layer; patterning the first polysilicon layer; performing a heat treatment in hydrogen atmosphere; forming the second insulating film; forming the second polysilicon layer; and patterning the second polysilicon layer. In accordance with the present invention, a non-volatile semiconductor device having excellent hold characteristics and only a small dispersion of element characteristics can be manufactured.
    Type: Grant
    Filed: October 30, 2000
    Date of Patent: May 14, 2002
    Assignee: NEC Corporation
    Inventor: Satoru Muramatsu
  • Patent number: 6387755
    Abstract: A system and method for providing a memory cell on a semiconductor is disclosed. The method and system include providing an oxide layer on the semiconductor and providing at least one gate stack disposed above the oxide layer. The at least one gate stack has a corner contacting the oxide layer. The method and system further include exposing at least the corner of the at least one gate stack and rounding at least the corner of the at least one gate stack.
    Type: Grant
    Filed: December 17, 1997
    Date of Patent: May 14, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Timothy J. Thurgate, Scott D. Luning
  • Patent number: 6387757
    Abstract: Within a method for fabricating a split gate field effect transistor (FET) within a semiconductor integrated circuit microelectronic fabrication, there is employed a sacrificial self aligned spacer layer which defines a control gate electrode channel within the split gate field effect transistor (FET). The sacrificial self aligned spacer layer is employed as part of an ion implantation mask employed for forming a source/drain region adjoining the control gate electrode channel within the split gate field effect transistor (FET). The sacrificial self aligned spacer layer is stripped from over the control gate electrode channel prior to forming over the control gate electrode channel a control gate electrode within the split gate field effect transistor.
    Type: Grant
    Filed: January 17, 2001
    Date of Patent: May 14, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd
    Inventors: Wen-Ting Chu, Di-Son Kuo, Jake Yeh, Chia-Da Hsieh, Chuan-Li Chang, Sheng-Wei Tsaur
  • Patent number: 6384450
    Abstract: In a semiconductor memory device, such as a flash memory device, a conductor layer of metal or metal compound having high refractoriness, such as titanium nitride, is formed on a conductor or wiring formed by a buried diffusion layer to reduce resistance thereof. In the present invention, such conductor layer is formed by using small number of process steps and without using photolithography process. For example, after forming the buried diffusion layer for source and drain regions by ion implantation using each floating gate and dummy gate as a mask, titanium nitride is deposited throughout a substrate. Thereafter, by using oxide film growth and etching back process, an oxide film layer remaining on the titanium nitride layer between the floating gate and the dummy gate is fabricated. Then, the titanium nitride layer on the floating gate and on the dummy gate is removed by using this remained oxide film layer as a mask, without using any photolithography process.
    Type: Grant
    Filed: May 4, 1999
    Date of Patent: May 7, 2002
    Assignee: NEC Corporation
    Inventors: Ken-Ichi Hidaka, Masaru Tsukiji
  • Publication number: 20020050609
    Abstract: A non-volatile memory device and fabrication methods thereof are provided. A first inter-gate insulating layer is formed to intervene between control gate electrodes and floating gate electrodes in a cell array area. A second inter-gate insulating layer is formed to intervene between a gate electrode and a dummy gate electrode in a peripheral circuit area. The second inter-gate insulating layer has a thickness greater than a thickness of the first inter-gate insulating layer on a top surface of the floating gate electrodes. By reducing the difference between the lo thickness of the first inter-gate insulating layer on sidewalls of floating gate patterns and the thickness of the second inter-gate insulating layer on a gate electrode pattern, in accordance with the invention, any etching damage to the substrate in the peripheral circuit area can be considerably reduced or prevented during the fabrication process.
    Type: Application
    Filed: May 17, 2001
    Publication date: May 2, 2002
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Kyu-Charn Park, Jung-Dal Choi, Yong-Sik Yim