Having Additional, Nonmemory Control Electrode Or Channel Portion (e.g., For Accessing Field Effect Transistor Structure, Etc.) Patents (Class 438/266)
  • Patent number: 6841444
    Abstract: A nonvolatile semiconductor memory device that can be miniaturized is provided. A method of manufacturing the nonvolatile semiconductor memory device includes the steps of: forming an interlayer insulating film covering a stacked structure and a sidewall insulating film and having a top surface approximately parallel to a main surface; forming a resist pattern as a mask layer on the top surface of the interlayer insulating film; forming a groove as an opening in the interlayer insulating film to be positioned between the sidewall insulating films formed at the adjacent stacked structures; and forming a source region extending along a plurality of floating gate electrodes by implanting impurity ions from the groove to the main surface.
    Type: Grant
    Filed: October 24, 2003
    Date of Patent: January 11, 2005
    Assignee: Renesas Technology Corp.
    Inventor: Shu Shimizu
  • Patent number: 6838320
    Abstract: In a semiconductor integrated circuit device having a system-on-chip structure in which a DRAM and a logic integrated circuit are mixedly mounted on a chip, a silicide layer is formed on the surfaces of the source and the drain of a MISFET of a direct peripheral circuit of the DRAM, the surfaces of the source and the drain of a MISFET of an indirect peripheral circuit of the DRAM, and the surfaces of the source and the drain of a MISFET of the logic integrated circuit, and the silicide layer is not formed on the surfaces of the source and the drain of a memory cell selective MISFET of the memory cell of the DRAM.
    Type: Grant
    Filed: October 5, 2001
    Date of Patent: January 4, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Takafumi Tokunaga, Makoto Yoshida, Fumio Ootsuka
  • Patent number: 6838342
    Abstract: A floating gate of a nonvolatile memory cell is formed from two conductive layers (410.1, 410.2). A dielectric (210) in substrate isolation regions and the first of the two conductive layers providing the floating gates (410.1) are formed so that the dielectric has an exposed sidewall. At least the top portion of the sidewall is exposed. Then some of the dielectric is removed from the exposed portions of the dielectric sidewalls to laterally recess the sidewalls. Then the second conductive layer (410.2) for the floating gates is formed. The recessed sidewalls of the dielectric allow the second conductive layer to expand laterally, thus increasing the capacitive coupling between the floating and control gates and improving the gate coupling ratio.
    Type: Grant
    Filed: October 3, 2003
    Date of Patent: January 4, 2005
    Assignee: ProMOS Technologies, Inc.
    Inventor: Yi Ding
  • Patent number: 6830973
    Abstract: Using a rapid thermal oxidation device, the top and side surfaces of a floating gate electrode are oxidized by In Situ Steam Generation (ISSG), wherein oxygen to which about 0.5 to 33% hydrogen has been added is introduced directly into a chamber with a temperature of approximately 900 to 1100° C. and a pressure of approximately 1,000 to 2,000 Pa, in order to generate water vapor from the introduced hydrogen and oxygen on a heated semiconductor substrate. Thus, an insulating film made of silicon oxide is formed on the surface of the floating gate electrode.
    Type: Grant
    Filed: September 11, 2002
    Date of Patent: December 14, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Hiromasa Fujimoto, Fumihiko Noro, Masataka Kusumi
  • Patent number: 6825085
    Abstract: A floating gate structure and a method for forming a floating gate oxide layer comprising the following steps. A structure having a first dielectric layer formed thereover is provided. An oxide layer is formed over the first dielectric layer. A nitride layer is formed over the oxide layer. The nitride layer is patterned to form an opening exposing a portion of the oxide layer. A portion of the first dielectric layer is exposed by removing: the exposed portion of the oxide layer; and portions of the oxide layer underneath the patterned nitride layer adjacent to the opening to form respective undercuts. The exposed portion of the first dielectric layer is oxidized to form the floating gate oxide layer.
    Type: Grant
    Filed: November 8, 2002
    Date of Patent: November 30, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company, Limited
    Inventors: Shih-Ming Chen, Kuo-Chiang Ting, Jen-Shiang Leu
  • Publication number: 20040235249
    Abstract: Method of manufacturing a semiconductor device comprising a semiconductor body (1) which is provided at a surface (2) with a non-volatile memory comprising a memory cell with a gate structure (4) with an access gate (21) and a gate structure (3) with a control gate (5) and a charge storage region, such as a floating gate (6) situated between the control gate (5) and the semiconductor body (1). In this method on the surface (2) of the semiconductor body (1) a first one of said gate structures is formed with side walls (10,11) extending substantially perpendicular to the surface. Then a conductive layer (14) is deposited on and next to said first gate-structure, the conductive layer is subjected to a planarizing treatment until the first gate structure is exposed and the so planarized conductive layer (15) is patterned so as to form at least a part of the other gate structure adjoining only a first one (10) of the side walls of the first gate structure.
    Type: Application
    Filed: January 30, 2004
    Publication date: November 25, 2004
    Inventor: Robert Theodorus Franciscus Van Schaijk
  • Patent number: 6821850
    Abstract: A multi-level EEPROM cell and a method of manufacture thereof are provided so as to improve a program characteristic of the multi-level cell. For the purpose, the multi-level flash EEPROM cell includes a floating gate formed as being electrically separated from a silicon substrate by an underlying tunnel oxide layer, a first dielectric layer formed over the top of the floating gate, a first control gate formed on the floating gate as being electrically separated from the floating gate by the first dielectric layer, a second dielectric layer formed on the sidewall and top of the first control gate, a second control gate formed on the sidewall and top of the first control gate as being electrically separated from the first control gate by the second dielectric layer, and a source and drain formed in the substrate as being self-aligned with both edges of the second control gate.
    Type: Grant
    Filed: July 28, 2003
    Date of Patent: November 23, 2004
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Sang-Hoan Chang, Ki-Seog Kim, Keun-Woo Lee, Sung-Kee Park
  • Patent number: 6821849
    Abstract: A split gate flash memory cell includes a substrate having a trench, a stack structure disposed on the substrate, wherein the stack structure includes a tunneling dielectric layer, a floating gate and a cap layer; a first inter-gate dielectric layer and a second inter-gate dielectric layer disposed on the sidewalls of the stack structure, wherein the first inter-gate dielectric layer is contiguous to the top of the trench; a selective gate disposed on the sidwalls of the first inter-gate dielectric layer and the trench; a selective gate dielectric layer disposed between the selective gate and the substrate; a source region configured in the substrate beside the side of the stack structure with the second inter-gate dielectric layer; and a drain region configured at the bottom of the trench beside one side of the selective gate.
    Type: Grant
    Filed: April 28, 2004
    Date of Patent: November 23, 2004
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Ko-Hsing Chang, Hann-Jye Hsu
  • Patent number: 6818512
    Abstract: A multi-bit split-gate (MSG) flash cell with multi-shared source/drain and making of the same are disclosed. The MSG is formed with N+1 stacked gates comprising floating gates and control gates, separated by N select gates, all sharing the same source/drain between a pair of bit lines. With the disclosed MSG, a multiplicity of N+1 bit programming can be accomplished bit by bit where the programmed bits are selected by word line, bit line and control gate. In the erase operation, erased bits are selected by word line, while in the read operation, operations similar to write operation are performed. Thus, it is disclosed here that a plurality of N+1 bits or cells, where N is any integer, can be formed between two bit lines and along the same word line.
    Type: Grant
    Filed: January 4, 2002
    Date of Patent: November 16, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Chia-Ta Hsieh
  • Patent number: 6818511
    Abstract: Disclosed are a non-volatile memory device to protect a floating gate from charge loss and a method for forming the same. At least a pair of floating gate lines are formed on a semiconductor substrate. A portion of the substrate between the floating gate lines is etched to form a trench therein. A gap-fill dielectric layer is formed in the trench and also in the gap between the pair of floating gate lines. The gap-fill dielectric layer is implanted with impurities so that positive mobile ions that may permeate the floating gate through the gap-fill dielectric layer can be trapped in the gap-fill dielectric layer.
    Type: Grant
    Filed: October 23, 2003
    Date of Patent: November 16, 2004
    Assignee: Samsung Electronic Co., Ltd.
    Inventor: Wook-Hyoung Lee
  • Patent number: 6815289
    Abstract: Provided is a method of manufacturing a semiconductor device capable of effectively removing impurity product attached to a semiconductor film while suppressing coming off of, for example, hemispherical grains formed on a semiconductor film containing an impurity. Spherical or hemispherical grains are formed on the surface of an amorphous silicon film containing phosphorus which forms a bottom electrode of a capacitor. In order to suppress depletion of the bottom electrode, annealing is performed in PH3 atmosphere so as to diffuse phosphorus to the grains. Cleaning is performed using hot water (deionized water) in order to remove the impurity product attached onto the surface of the bottom electrode by annealing. A native oxide film formed on the surface of the bottom electrode is removed by cleaning using a mixed solution of hydrofluoric acid and water. A dielectric film and a top electrode are formed in order so as to cover the surface of the bottom electrode. Thereby, a cylindrical capacitor is fabricated.
    Type: Grant
    Filed: October 19, 2001
    Date of Patent: November 9, 2004
    Assignee: Sony Corporation
    Inventors: Tomoyuki Hirano, Kazumi Asada
  • Patent number: 6815761
    Abstract: In the semiconductor integrated circuit device, an AND-type flash memory is formed on a substrate in which stripe-like element separation regions 5 are formed and active regions L sandwiched between the element separation regions 5 are formed like stripes. A silicon monocrystal substrate containing nitrogen or carbon is used as the semiconductor substrate, to reduce dislocation defects and junction leakages so that the reliability and yield are improved.
    Type: Grant
    Filed: April 22, 2003
    Date of Patent: November 9, 2004
    Assignee: Renesas Technology Corporation
    Inventors: Toshiaki Nishimoto, Takashi Aoyagi, Shogo Kiyota
  • Patent number: 6812083
    Abstract: A fabrication method for a non-volatile memory includes providing a first metal oxide semiconductor (MOS) transistor having a control gate and a second MOS transistor having a source, a drain, and a floating gate. The first MOS transistor and the second MOS transistor are formed on a well. The method further includes biasing the first MOS with a first biasing voltage to actuate the first MOS transistor, biasing the second MOS transistor with a second biasing voltage to enable the second MOS transistor to generate a gate current, and adjusting capacitances between the floating gate of the second MOS transistor and the drain, the source, the control gate, and the well according to voltage difference between the floating gate of the second MOS transistor and the source of the second MOS transistor.
    Type: Grant
    Filed: June 18, 2003
    Date of Patent: November 2, 2004
    Assignee: eMemory Technology Inc.
    Inventors: Shih-Jye Shen, Wei-Zhe Wong, Ming-Chou Ho, Hsin-Ming Chen
  • Publication number: 20040214396
    Abstract: A self aligned method of forming an array of floating gate memory cells, and an array formed thereby, wherein each memory cell includes a trench formed into a surface of a semiconductor substrate, and spaced apart source and drain regions with a channel region formed therebetween. The drain region is formed underneath the trench. An electrically conductive floating gate is formed over and insulated from a portion of the channel region, with a horizontally oriented edge extending therefrom. An electrically conductive control gate is formed having a first portion disposed in the trench and a second portion disposed adjacent to and insulated from the floating gate edge.
    Type: Application
    Filed: May 19, 2004
    Publication date: October 28, 2004
    Inventors: Chih Hsin Wang, Bing Yeh
  • Publication number: 20040214395
    Abstract: A self aligned method of forming a semiconductor memory array of floating gate memory cells in a semiconductor substrate has a plurality of spaced apart isolation regions and active regions on the substrate substantially parallel to one another in the column direction. Floating gates are formed in each of the active regions by forming a conductive layer of material. Trenches are formed in the row direction across the active regions, and are filled with a conductive material to form blocks of conductive material that are the control gates. Sidewall spacers of conductive material are formed along the floating gate blocks to give the floating gates protruding portions that extend over the floating gate.
    Type: Application
    Filed: May 18, 2004
    Publication date: October 28, 2004
    Inventor: Chih Hsin Wang
  • Patent number: 6808991
    Abstract: Roughly described, therefore, in accordance with a preferred embodiment of the present invention, a method is provided for fabricating an N-bit memory device with self-aligned buried diffuision implants and two isolated ONO segments in one cell. The method includes the steps of forming an ONO layer on a substrate, depositing a polysilicon layer, patterning the polysilicon layer, implanting barrier diffusion, trimming the photoresist layer on the polysilicon layer, etching the polysilicon layer by using the trimmed photoresist layer as mask, then removing the photoresist. After removing the photoresist, a nitride layer is filled in the patterned polysilicon layer openings. The etching steps are preformed by using the nitride layer as a mask. The polysilicon layer and part of the ONO layer are removed, and the gate oxide layer is exposed. Two isolated ONO segments are formed by these etching steps. A polysilicon gate is then formed on the gate oxide layer.
    Type: Grant
    Filed: November 19, 2003
    Date of Patent: October 26, 2004
    Assignee: Macronix International Co., Ltd.
    Inventor: Ke-Wei Tung
  • Publication number: 20040209424
    Abstract: A silicon layer doped with an impurity for a floating gate, a protective layer, a silicon nitride layer of a laminated hard mask and a first NSG layer are formed into a desired pattern, on which a second NSG layer is formed and left as a side wall. With the second NSG layer as a mask, the silicon nitride layer is etched. Using the remaining silicon nitride layer as a mask, the silicon layer is etched to form a silicon pattern whose surface is covered with a second protective layer, and the silicon nitride layer is etched out. Accordingly, it is possible to prevent a damage at the surface of the floating gate at the time of forming the floating gate using doped polysilicon.
    Type: Application
    Filed: April 13, 2004
    Publication date: October 21, 2004
    Applicant: NEC ELECTRONICS CORPORATION
    Inventors: Junichi Suzuki, Kohji Kanamori
  • Publication number: 20040209428
    Abstract: A split gate flash memory device and method of fabricating the same. A cell of the split gate flash memory device in accordance with the invention is disposed in a cell trench within a substrate to achieve higher integration of memory cells.
    Type: Application
    Filed: April 28, 2004
    Publication date: October 21, 2004
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventor: Chi-Hui Lin
  • Publication number: 20040201059
    Abstract: In a nonvolatile memory cell, the floating gate (160) has an upward protruding portion. This portion can be formed as a spacer over a sidewall of the select gate (140). The spacer can be formed from a layer (160.2) deposited after the layer (160.1) which provides a lower portion of the floating gate. Alternatively, the upward protruding portion and the lower portion can be formed from the same layers or sub-layers all of which are present in both portions. The control gate (170) can be defined without photolithography. Other embodiments are also provided.
    Type: Application
    Filed: April 10, 2003
    Publication date: October 14, 2004
    Inventor: Yi Ding
  • Publication number: 20040197999
    Abstract: A split gate flash memory cell includes a substrate having a trench, a stack structure disposed on the substrate, wherein the stack structure includes a tunneling dielectric layer, a floating gate and a cap layer; a first inter-gate dielectric layer and a second inter-gate dielectric layer disposed on the sidewalls of the stack structure, wherein the first inter-gate dielectric layer is contiguous to the top of the trench; a selective gate disposed on the sidwalls of the first inter-gate dielectric layer and the trench; a selective gate dielectric layer disposed between the selective gate and the substrate; a source region configured in the substrate beside the side of the stack structure with the second inter-gate dielectric layer; and a drain region configured at the bottom of the trench beside one side of the selective gate.
    Type: Application
    Filed: April 28, 2004
    Publication date: October 7, 2004
    Inventors: Ko-Hsing Chang, Hann-Jye Hsu
  • Patent number: 6800525
    Abstract: The method of manufacturing a split gate flash memory device includes the steps of (a) providing a semiconductor substrate of a conductivity type opposite to that of a first junction region; (b) sequentially forming a first dielectric film, a first conductive film, a second dielectric film and a third dielectric film on an overall upper face of the substrate; (c) etching the third dielectric film by a given thickness so as to expose the second dielectric film; (d) removing the exposed second dielectric film, and eliminating the remaining third dielectric film; (e) etching the first conductive film and the second dielectric film by a given thickness so as to partially expose the first conductive line and the first conductive film; (f) forming a fourth dielectric film on a portion of the exposed first conductive line and first conductive film; (g) eliminating the remaining second dielectric film remained, and exposing the first conductive film provided in a lower part thereof; and (h) etching the first dielectr
    Type: Grant
    Filed: July 31, 2003
    Date of Patent: October 5, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Eui-Youl Ryu, Jae-Min Yu, Jin-Woo Kim, Jae-Hyun Park, Yong-Hee Kim, Don-Woo Lee, Dai-Geun Kim, Sag-Wook Park, Joo-Chan Kim, Kook-Min Kim, Min-Soo Cho, Chul-Soon Kwon
  • Publication number: 20040191993
    Abstract: A nonvolatile semiconductor memory device having a memory cell comprising source/drain diffusion layer in p-well formed to a silicon substrate, a floating gate as a first gate, a control gate (word line) as a second gate, and a third gate, in which the floating gate and the p-well are isolated by a tunnel insulator film, the third gate and the p-well are isolated by a gate insulator film, the floating gate and the third gate are isolated by an insulator film, the floating gate and the word line (control gate) are isolated by a insulator film (ONO film), and the second gate film and the word line (control gate) are isolated by a silicon oxide film, respectively, wherein the thickness of the tunnel insulator film is made larger than the thickness of the gate insulator film. Accordingly, the reliability and access time of the device is improved.
    Type: Application
    Filed: April 7, 2004
    Publication date: September 30, 2004
    Applicant: Renesas Technology Corp.
    Inventor: Takashi Kobayashi
  • Patent number: 6797566
    Abstract: A semiconductor integrated circuit device with third gates comprising second conduction type source/drain diffusion layer regions 205 formed in first conduction type well 201, floating gates 203b formed on semiconductor substrate 200 through an insulator film 202, control gates 211a formed on floating gates 203b through nitrogen-introduced silicon oxide film 210a and third gates 207a different from the floating gates and the control gates, formed through the semiconductor substrates, the floating gates, the control gates and the insulator film, where the third gates are formed as filled in gaps between the floating gates existing in a vertical direction to word lines and channels and the height of third gates 207a thus formed is made lower than that of floating gates 203b, has improved reduction of memory cell size and operating speed and improved reliability after programming/erasing cycles.
    Type: Grant
    Filed: January 16, 2002
    Date of Patent: September 28, 2004
    Assignees: Renesas Technology Corp., Hitachi Device Engineering Corp. Ltd.
    Inventors: Takashi Kobayashi, Yasushi Goto, Tokuo Kure, Hideaki Kurata, Hitoshi Kume, Katsutaka Kimura, Syunichi Saeki
  • Patent number: 6797567
    Abstract: A fabrication method for a read only memory device with a high dielectric constant tunneling dielectric layer, wherein this method provides forming a tunneling dielectric layer on a substrate, wherein the tunneling dielectric layer is formed with HfSiON or HfOxNy. An electron trapping layer and a top oxide layer are sequentially formed over the tunneling dielectric layer. Thereafter, the oxide layer, the electron trapping layer and the tunneling dielectric layer are patterned to form a plurality of stacked structures, followed by forming doped regions in the substrate between the stacked structures. Buried drain oxide layers are further formed over the surface of the doped regions, followed by forming a patterned conductive layer as the word line for the read only memory device.
    Type: Grant
    Filed: December 24, 2002
    Date of Patent: September 28, 2004
    Assignee: Macronix International Co., Ltd.
    Inventor: Kent Kuohua Chang
  • Patent number: 6797604
    Abstract: A method (and resultant structure) of forming a semiconductor device, includes forming a metal-back-gate over a substrate and a metal back-gate, forming a passivation layer on the metal back-gate to prevent the metal back-gate from reacting with radical species, and providing an intermediate gluing layer between the substrate and the metal back-gate to enhance adhesion.
    Type: Grant
    Filed: March 27, 2001
    Date of Patent: September 28, 2004
    Assignee: International Business Machines Corporation
    Inventors: Kevin K. Chan, Lijuan Huang, Fenton R. McFeely, Paul M. Solomon, Hon-Sum Philip Wong
  • Patent number: 6797568
    Abstract: High voltage (HV), single polysilicon gate NMOS and PMOS transistors in double polysilicon stacked gate flash technology and methods for making the same are described. Specifically, the methods provide for the formation of (and devices comprise) high voltage polysilicon 1 and polysilicon 2 transistors (NMOS and PMOS) in double polysilicon stacked gate flash technology. Different types of transistors (e.g., HV P1 NMOS, HV P1 PMOS, HV P2 NMOS, HV P2 PMOS, LV P1 NMOS, LV P1 PMOS, LV P2 NMOS, LV P2 PMOS) are formed along with a stacked-gate double-poly transistor, thereby providing versatility in flash technology device design. The polysilicon 1 transistors may be salicided without adding to the complexity of the double poly stacked gate fabrication process. In addition, the stacked gate device may include polysilicon 2 only transistors.
    Type: Grant
    Filed: November 21, 2002
    Date of Patent: September 28, 2004
    Assignee: Lattice Semiconductor Corp.
    Inventor: YongZhong Hu
  • Patent number: 6794250
    Abstract: A vertical split gate flash memory cell. The memory cell includes a substrate, a floating gate, a control gate, a tunnel layer, a first doping region, and a second doping region. The floating gate is disposed in the lower portion of the trench and insulated from the adjacent substrate by a floating gate oxide layer. The control gate is disposed over the floating gate and insulated from the adjacent substrate by a control gate oxide layer. The inter-gate dielectric layer is disposed between the floating gate and the control gate for insulation of the floating gate and the control gaze. The first doping region is formed in the substrate adjacent to the control gate and the second doping region is formed in the substrate below the first doping region and adjacent to the control gate to serve as source and drain regions with the first doping region.
    Type: Grant
    Filed: May 29, 2003
    Date of Patent: September 21, 2004
    Assignee: Nanya Technology Corporation
    Inventors: Ming Cheng Chang, Cheng-Chih Huang, Jeng-Ping Lin
  • Patent number: 6794711
    Abstract: Non-volatile memory devices according to embodiments of the invention can include, for example, a semiconductor substrate, a source region, a drain region, an impurity region, a vertical structure, a control gate insulating layer, a control gate electrode, a gate insulating layer, and a gate electrode. The impurity region is in a floating state between the source region and the drain region. The vertical structure is formed of a tunneling layer, a charge trapping layer, and a blocking layer sequentially stacked between the source region and the impurity region. The control gate insulating layer is between the source region and the impurity region and adjacent to the vertical structure. The control gate electrode is formed on the vertical structure and the control gate insulating layer. The gate insulating layer is between the impurity region and the drain region. The gate electrode is formed on the gate insulating layer.
    Type: Grant
    Filed: July 14, 2003
    Date of Patent: September 21, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-taeg Kang, Jeong-uk Han, Soeng-gyun Kim
  • Patent number: 6794236
    Abstract: An EEPROM device incorporates a partially encapsulated floating gate electrode in order to increase the capacitive coupling between the floating gate electrode and the control gate region of an EEPROM device. The floating gate electrode is partially encapsulated by a capacitor plate that is locally interconnected to the control gate region residing in a semiconductor substrate. The capacitor plate is electrically isolated from the floating gate electrode by a capacitor dielectric layer overlying the floating gate electrode. By partially encapsulating the floating gate electrode with a capacitor plate electrically connected to the control gate region, a high capacitance coupling is obtained between the floating gate electrode and the control gate region, while minimizing the substrate area necessary for fabrication of the capacitor portion of an EEPROM device.
    Type: Grant
    Filed: June 3, 2002
    Date of Patent: September 21, 2004
    Assignee: Lattice Semiconductor Corporation
    Inventor: YongZhong Hu
  • Patent number: 6787842
    Abstract: Within a stacked gate field effect transistor (FET) device, as well as a method for fabrication thereof and a method for operation thereof, there is provided a stacked gate field effect transistor (FET) device comprising a layered stack of a tunneling dielectric layer, a floating gate electrode, an inter-gate electrode dielectric layer and a control gate electrode formed upon a semiconductor substrate. To enhance performance of the stacked gate field effect transistor (FET) device, at least one of: (1) the floating gate electrode is formed with a pointed edge tip at its outer sidewall; (2) the floating gate electrode in formed with a pointed linear recess centered within its linewidth; and (3) a pair of source/drain regions is formed asymmetrically penetrating beneath the pair of opposite edges of the floating gate electrode and not laterally spaced from a floating gate electrode sidewall.
    Type: Grant
    Filed: April 2, 2003
    Date of Patent: September 7, 2004
    Assignee: Taiwan SEmiconductor Manufacturing Co., Ltd
    Inventor: Chia-Ta Hsieh
  • Publication number: 20040171218
    Abstract: A semiconductor memory device having a dummy active region is provided, which includes a plurality of parallel main active regions and a dummy active region coupled to ends of the main active regions. The main preferably active regions are arranged in a main memory cell array region and extend to or through a dummy cell array region surrounding the main memory cell array region. Further, the dummy active region is perpendicular to the main active regions. A redundancy cell array region may intervene between the main memory cell array region and the dummy cell array region. In this case, the main active regions are extended to the dummy cell array region through the redundancy cell array region.
    Type: Application
    Filed: March 5, 2004
    Publication date: September 2, 2004
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Hong-Soo Kim, Jung-Dal Choi, Jong-Sun Sel, Yong-Joon Choi
  • Patent number: 6780707
    Abstract: A method of forming a semiconductor device including a memory cell area having a plurality of memory cells and a peripheral circuit area for reading and writing data on the memory cells in the memory cell area of a semiconductor substrate is provided. Contact pads are formed on source/drain regions of transistors in the peripheral circuit area as well as in the memory cell area. The contact pads are concurrently formed on the source/drain regions of the transistors in the memory cell area and the peripheral circuit area. As a result, there is no step difference between the contact pads and, thus, it is easy to form metal contact plugs on the contact pads.
    Type: Grant
    Filed: January 8, 2002
    Date of Patent: August 24, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Kang-Yoon Lee
  • Patent number: 6773992
    Abstract: A method for fabricating a nonvolatile semiconductor memory device according to the present invention includes patterning an insulating film for forming a tunnel insulating film and a conductor film for forming a floating gate electrode and forming a well region of a first conductivity type in the logic circuit portion of a semiconductor substrate. This prevents the well region in the logic circuit portion from experiencing a thermal budget resulting from the formation of the insulating film and the conductor film.
    Type: Grant
    Filed: April 11, 2002
    Date of Patent: August 10, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Hiroyuki Doi
  • Publication number: 20040152268
    Abstract: A method of forming triple poly silicon split gate flash memory cell comprising of select gate, floating gate, and control gate having the three poly-silicon gates fully aligned with each other is described. High-resolution select-gate poly-silicon-1 is patterned using I-line lithography and resist instead of deep UV (DUV) lithography resist, as is normally used in prior art, which reduces cost of fabrication. Further, the triple poly-silicon structure is etched in a self-aligned manner and also provided with dielectric spacers in the source and drain contact regions prior to forming silicided metal contacts. Self-aligned etching in conjunction with dielectric spacers provide electrical isolation on the drain side and prevent potential bridging between select-gate poly silicon-1 and the drain.
    Type: Application
    Filed: February 5, 2003
    Publication date: August 5, 2004
    Applicant: Taiwan Semiconductor Manufacturing Company
    Inventors: Kwo Wei Chu, Chih Ming Chen
  • Patent number: 6768162
    Abstract: A split gate flash memory cell includes a substrate having a trench, a stack structure disposed on the substrate, wherein the stack structure includes a tunneling dielectric layer, a floating gate and a cap layer; a first inter-gate dielectric layer and a second inter-gate dielectric layer disposed on the sidewalls of the stack structure, wherein the first inter-gate dielectric layer is contiguous to the top of the trench; a selective gate disposed on the sidwalls of the first inter-gate dielectric layer and the trench; a selective gate dielectric layer disposed between the selective gate and the substrate; a source region configured in the substrate beside the side of the stack structure with the second inter-gate dielectric layer; and a drain region configured at the bottom of the trench beside one side of the selective gate.
    Type: Grant
    Filed: August 5, 2003
    Date of Patent: July 27, 2004
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Ko-Hsing Chang, Hann-Jye Hsu
  • Patent number: 6764905
    Abstract: A scalable flash EEPROM cell having a semiconductor substrate with a drain and a source and a channel therebetween. A select gate is positioned over a portion of the channel and is insulated therefrom. A floating gate is a spacer having a bottom surface positioned over a second portion of the channel and is insulated therefrom. The floating gate has two side surfaces extending from the bottom surface. A control gate is over the floating gate and includes a first portion that is adjacent the floating gate first side surface, and a second portion adjacent the floating gate second side surface.
    Type: Grant
    Filed: July 9, 2003
    Date of Patent: July 20, 2004
    Assignee: Integrated Memory Technologies, Inc.
    Inventors: Ching-Shi Jeng, Ching Dong Wang
  • Patent number: 6762093
    Abstract: A floating gate transistor includes a first floating gate portion extending horizontally over a channel region. A second floating gate portion vertically extends upwardly from the first floating gate portion to be coupled to a control gate. The second floating gate portion can be formed in a container shape with the control gate formed within the container floating gate. The transistor allows the die real estate occupied by the transistor to be reduced while maintaining the coupling area between the floating and control gates. The transistor can be used in non-volatile memory devices, such as flash memory.
    Type: Grant
    Filed: August 21, 2002
    Date of Patent: July 13, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Paul Rudeck
  • Patent number: 6759300
    Abstract: A method for fabricating a floating gate. A semiconductor substrate is provided, on which a gate dielectric layer, a conductive layer, a first insulating layer, and a patterned mask layer with an opening are formed, such that the opening exposes the first insulating layer. The insulating layer and the conducting layer are sequentially etched to form a round-cornered trench, and the photo hard mask layer is removed. A second insulating layer is formed in the round-cornered trench. The first insulating layer and the exposed conducting layer are removed using the second insulating layer as a mask, and the first conducting layer covered by the second insulating layer remains as a floating gate.
    Type: Grant
    Filed: April 28, 2003
    Date of Patent: July 6, 2004
    Assignee: Nanya Technology Corporation
    Inventors: Chao-Wen Lay, Yu-Chi Sun, Tse-Yao Huang
  • Patent number: 6756640
    Abstract: Memory elements, switching elements, and peripheral circuits to constitute a nonvolatile memory are integrally formed on a substrate by using TFTs. Since semiconductor active layers of memory element TFTs are thinner than those of other TFTs, impact ionization easily occurs in channel regions of the memory element TFTs. This enables low-voltage write/erase operations to be performed on the memory elements, and hence the memory elements are less prone to deteriorate. Therefore, a nonvolatile memory capable of miniaturization can be provided.
    Type: Grant
    Filed: December 18, 2002
    Date of Patent: June 29, 2004
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Jun Koyama, Keisuke Hayashi
  • Patent number: 6756270
    Abstract: A semiconductor device and fabrication method thereof restrains an amplified current between input voltage Vin and ground voltage Vss, and first and second n-wells are biased into internal voltage sources, whereby the current-voltage characteristic of the input pad becomes stabilized during an open/short checkup of a semiconductor device. The semiconductor device includes a semiconductor substrate having a plurality of device isolation regions, first and second n-wells horizontally spaced from either of the plurality of device isolation regions, a p-channel transistor formed in the second n-well, an input protection transistor horizontally spaced from the first n-well and the device isolation region, on a symmetrical portion by the first n-well to the second n-well, and a guard ring formed between the first n-well and the input protection transistor.
    Type: Grant
    Filed: September 24, 2002
    Date of Patent: June 29, 2004
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Chang Soo Lee
  • Publication number: 20040121573
    Abstract: A method for forming a floating gate electrode within a split gate field effect transistor device provides for isotropically processing a blanket isotropically processable material layer having a patterned mask layer formed thereover to form a patterned isotropically processed material layer which encroaches beneath the patterned mask layer. The patterned isotropically processed material layer may then be employed as a mask for forming a floating gate electrode from a blanket floating gate electrode material layer. The method provides for forming adjacent floating gate electrodes with less than minimally photolithographically resolvable separation.
    Type: Application
    Filed: December 20, 2002
    Publication date: June 24, 2004
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wen-Ting Chu, Chia-Ta Hsieh, Chrong-Jung Lin
  • Publication number: 20040121545
    Abstract: A new method is provided for the etch of polysilicon spacers that form part of split-gate flash memory devices. Under a first embodiment of the invention, a conventional polysilicon gate etch is augmented with an oxide based plasma treatment of the layer of polysilicon that is being etched as part of this etch.
    Type: Application
    Filed: December 23, 2002
    Publication date: June 24, 2004
    Applicant: Taiwan Semiconductor Manufacturing Company
    Inventors: Bi-Ling Chen, Hung-Cheng Sung, Chi-San Wu, Chia-Shiung Tsai, Hsiu Ouyang
  • Patent number: 6753223
    Abstract: A method for fabricating a flash memory cell. The method starts with sequential formation of a first insulating layer, a first conductive layer and pad layer on a semiconductor substrate. Part of the pad layer is removed to form a first opening, followed by forming a conductive spacer, i.e. the tip, on the sidewalls of the first opening. Then, parts of the pad layer, first conductive layer, first insulating layer and substrate are removed to form a second opening. Next, a second insulating layer is formed to fill the first opening and the second opening to form a first gate insulating layer and shallow trench isolation. The first gate insulating layer is used as hard mask to remove part of the first conductive layer and the first insulating layer to form a floating gate and a second insulating layer. Tunneling oxide and control gate are then formed on the floating gate. Finally, a source/drain is formed.
    Type: Grant
    Filed: November 15, 2002
    Date of Patent: June 22, 2004
    Assignee: Nanya Technology Corporation
    Inventor: Chi-Hui Lin
  • Patent number: 6750525
    Abstract: A non-volatile memory device having a MONOS (Metal-oxide-nitride-oxide-semiconductor) gate structure is provided. This device includes a selection transistor and a cell transistor including a cell gate insulation layer formed in a cell array area and a low-voltage MOS transistor having a low-voltage gate insulation layer and a high-voltage MOS transistor having a high-voltage gate insulation layer formed in a peripheral circuit area. The low-voltage gate insulation layer is thinner than the high-voltage gate insulation layer. The low-voltage gate insulation layer can also be thinner than the equivalent thickness of the cell gate insulation layer.
    Type: Grant
    Filed: March 15, 2002
    Date of Patent: June 15, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Sik Yim, Jung-Dal Choi, Hong-Suk Kwack, You-Cheol Shin
  • Patent number: 6746920
    Abstract: The present invention generally relates to provide a fabrication method of a flash memory with L-shaped floating gate. The present invention utilizes a dielectric spacer on a surface of a semiconductor substrate to form a L-shaped poly spacer, which is so called the L-shaped floating gate. The respective inside portion of L-shaped floating gate is gibbous and to form a tip structure. Then, an isolating dielectric layer and a control gate are formed thereon. The control gate is covering the gibbous tip structure of the L-shaped floating gate to complete a flash memory device. The present invention is provided with a channel length, which is stably and easily controlled, and a tip structure for point discharging. Hence, the present invention can enhance the isolating effect between the control gate and the floating gate to achieve the purpose of repeating control the fabrication of the semiconductor devices.
    Type: Grant
    Filed: January 7, 2003
    Date of Patent: June 8, 2004
    Assignee: Megawin Technology Co., Ltd.
    Inventors: Wen-Ying Wen, Jyh-Long Horng
  • Patent number: 6747308
    Abstract: An EEPROM (100) comprises a source region (122), a drain region (120); and a polysilicon layer (110). The polysilicon layer (110) comprises a floating gate comprising at least one polysilicon finger (112A-112E) operatively coupling the source region (122) and drain region (120) and a control gate comprising at least one of the polysilicon fingers (112A-112E) capacitively coupled to the floating gate. The EEPROM (100) has a substantially reduce area compared to prior art EEPROM since an n-well region is eliminated.
    Type: Grant
    Filed: December 30, 2002
    Date of Patent: June 8, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Jozef C. Mitros, Lily Springer, Roland Bucksch
  • Publication number: 20040106258
    Abstract: A structure and method which enables the deposit of a thin nitride liner just before Trench Top Oxide TTO (High Density Plasma) HDP deposition during the formation of a vertical MOSFET DRAM cell device. This liner is subsequently removed after TTO sidewall etch. One function of this liner is to protect the collar oxide from being etched during the TTO oxide sidewall etch and generally provides lateral etch protection which is not realized in the current processing scheme. The process sequence does not rely on previously deposited films for collar protection, and decouples TTO sidewall etch protection from previous processing steps to provide additional process flexibility, such as allowing a thinner strap Cut Mask nitride and greater nitride etching during node nitride removal and buried strap nitrided interface removal.
    Type: Application
    Filed: November 24, 2003
    Publication date: June 3, 2004
    Applicant: International Business Machines Corporation
    Inventors: Rama Divakaruni, Thomas W. Dyer, Rajeev Malik, Jack A. Mandelman
  • Patent number: 6743675
    Abstract: A silicon nitride layer (120) is formed over a semiconductor substrate (104) and patterned to define isolation trenches (130). The trenches are filled with dielectric (210). The nitride layer is removed to expose sidewalls of the trench dielectric (210). The dielectric is etched to recess the sidewalls away from the active areas (132). Then a conductive layer (410) is deposited to form floating gates for nonvolatile memory cells. The recessed portions of the dielectric sidewalls allow the floating gates to be wider at the top. The gate coupling ratio is increased as a result. Other features are also provided.
    Type: Grant
    Filed: October 1, 2002
    Date of Patent: June 1, 2004
    Assignee: Mosel Vitelic, Inc.
    Inventor: Yi Ding
  • Patent number: 6740556
    Abstract: A method for forming an electrically programmable read-only memory(EPROM) includes forming a first p+ doped region, a second p+ doped region, and a third p+ doped region on an N-well, forming a control gate between the first p+ doped region and the second p+ doped region, and forming a p+ floating gate between the second p+ doped region and the third p+ doped region.
    Type: Grant
    Filed: March 14, 2003
    Date of Patent: May 25, 2004
    Assignee: eMemory Technology Inc.
    Inventors: Ching-Hsiang Hsu, Chih-Hsun Chu, Ming-Chou Ho, Shih-Jye Shen
  • Patent number: 6734067
    Abstract: The invention provides a floating gate semiconductor storage device equipped with an erase gate electrode that includes first and second diffusion layers, an isolation insulating film, a gate insulating film, a floating gate electrode, a control gate electrode, a capacitor dielectric film, an erase gate electrode and a tunneling insulating film. In manufacturing the semiconductor storage device, after forming the first and second diffusion layers in a semiconductor substrate, an insulating film for isolation is deposited on the semiconductor substrate. The insulating film for isolation is simultaneously or individually patterned into an isolation insulating film and first and second lower contact holes respectively reaching the first and second diffusion layers. The semiconductor device includes first and second contact members filled in the first and second lower contact holes to be in contact with the first and second diffusion layers.
    Type: Grant
    Filed: June 12, 2002
    Date of Patent: May 11, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Fumihiko Noro