Utilizing Gate Sidewall Structure Patents (Class 438/303)
  • Publication number: 20100219478
    Abstract: The present invention provides an NMOSFET including a semiconductor substrate, a gate insulating film formed on the semiconductor substrate, and a first gate electrode formed on the gate insulating film. The first gate electrode is composed of silicide of a metal M, and at least one element selected as an impurity from a group consisting of sulfur (S), fluorine (F) and chlorine (Cl). The impurity exists as an impurity layer at a surface of the first gate electrode at which the first gate electrode makes contact with the gate insulating film.
    Type: Application
    Filed: December 25, 2006
    Publication date: September 2, 2010
    Applicant: NEC Corporation
    Inventors: Kenzo Manabe, Nobuyuki Ikarashi
  • Publication number: 20100216293
    Abstract: A method for fabricating a semiconductor device includes providing a semiconductor substrate including a memory cell region and peripheral circuit regions. Gate electrodes including gate conductive patterns and capping patterns are formed on the memory cell region and the peripheral circuit regions. An interlayer dielectric covering the gate electrodes is formed. The interlayer dielectric is patterned to form first contact holes exposing the semiconductor substrate along side of the gate electrode in the memory cell region and second contact holes exposing a portion of the capping pattern in the peripheral circuit region such that a bottom surface of the second contact hole is spaced apart from a top surface of the gate conductive pattern. A first plug conductive layer is filled in the first contact holes and a second plug conductive layer is filled in the second contact holes.
    Type: Application
    Filed: February 9, 2010
    Publication date: August 26, 2010
    Inventors: ByoungHo Kwon, Boun Yoon, Daeik Kim, Sung-Min Cho
  • Patent number: 7776732
    Abstract: A method is disclosed to reduce parasitic capacitance in a metal high dielectric constant (MHK) transistor. The method includes forming a MHK gate stack upon a substrate, the MHK gate stack having a bottom layer of high dielectric constant material, a middle layer of metal, and a top layer of one of amorphous silicon or polycrystalline silicon. The method further forms a depleted sidewall layer on sidewalls of the MHK gate stack so as to overlie the middle layer and the top layer, and not the bottom layer. The depleted sidewall layer is one of amorphous silicon or polycrystalline silicon. The method further forms an offset spacer layer over the depleted sidewall layer and over exposed surfaces of the bottom layer.
    Type: Grant
    Filed: September 10, 2007
    Date of Patent: August 17, 2010
    Assignee: International Business Machines Corporation
    Inventors: Leland Chang, Isaac Lauer, Renee T. Mo, Jeffrey W. Sleight
  • Publication number: 20100203697
    Abstract: An object of the present invention is to provide an integrated semiconductor nonvolatile storage device that can be read at high speed and reprogrammed an increased number of times. In the case of conventional nonvolatile semiconductor storage devices having a split-gate structure, there is a tradeoff between the read current and the maximum allowable number of reprogramming operations. To overcome this problem, an integrated semiconductor nonvolatile storage device of the present invention is configured such that memory cells having different memory gate lengths are integrated on the same chip. This allows the device to be read at high speed and reprogrammed an increased number of times.
    Type: Application
    Filed: April 20, 2010
    Publication date: August 12, 2010
    Inventors: Digh HISAMOTO, Shin'ichiro Kimura, Daiske Okada, Kan Yasui
  • Patent number: 7772076
    Abstract: A method of manufacturing a semiconductor device includes forming a dummy gate wiring layer having a side surface and an upper surface on a first area of one major surface of a substrate, the major surface of the substrate including the first area and a second area, thereafter, forming a semiconductor film on the second area of the major surface of the substrate by using epitaxial growth, the semiconductor film having a thickness smaller than a thickness of the dummy gate wiring layer, and forming, on the semiconductor film, a gate sidewall which is made of an insulator and covers the side surface of the dummy gate wiring layer.
    Type: Grant
    Filed: March 9, 2007
    Date of Patent: August 10, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Atsushi Yagishita, Kouji Matsuo, Yasushi Akasaka, Kyoichi Suguro, Yoshitaka Tsunashima
  • Publication number: 20100197102
    Abstract: A film deposition method includes the steps of: coating a solution containing a polysilane compound on a substrate to form a coating film and then carrying out a first thermal treatment in an inert atmosphere, thereby forming the coating film into a silicon film; forming a coating film containing a polysilane compound on the silicon film and then carrying out a second thermal treatment in an inert atmosphere or a reducing atmosphere, thereby forming the coating film into a silicon oxide precursor film; and carrying out a third thermal treatment in an oxidizing atmosphere, thereby forming the silicon oxide precursor film into a silicon oxide film and simultaneously densifying the silicon film.
    Type: Application
    Filed: January 27, 2010
    Publication date: August 5, 2010
    Applicant: SONY CORPORATION
    Inventors: Hirotaka Akao, Yuriko Kaino, Takahiro Kamei, Masaki Hara, Kenichi Kurihara
  • Patent number: 7767509
    Abstract: Methods and associated structures of forming a microelectronic device are described. Those methods may include implanting the source/drain region, forming a multilayer cap on the source/drain region, annealing the source/drain region, and removing the multilayer cap.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: August 3, 2010
    Assignee: Intel Corporation
    Inventors: Mark Liu, Rob James, Jake Jensen, Karson Knutson
  • Patent number: 7767508
    Abstract: Methods are provided for the fabrication of abrupt and tunable offset spacers for improved transistor short channel control. The methods include the formation of a gate electrode within a dielectric layer, with only a top portion of the gate electrode exposed. Silicon is added on the top portion of the gate electrode, by selective epitaxial growth, for example. Etching of the dielectric layer is performed with added silicon at the top portion of the gate electrode serving as a silicon mask to prevent etching of the dielectric layer directly underneath the silicon mask, which includes overhangs over the gate electrode sidewalls. The etching creates offset spacers in a production-worthy manner, and can be used to form offset spacers that are asymmetrical in width. By running the methodology in a microloading regime, wider offset spacers may be created on narrower polysilicon gate features, thereby improving Vt roll-off.
    Type: Grant
    Filed: October 16, 2006
    Date of Patent: August 3, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Philip A. Fisher, Laura A. Brown, Johannes Groschopf, Huicai Zhong
  • Publication number: 20100190309
    Abstract: By providing an implantation blocking material on the gate electrode structures of advanced semiconductor devices during high energy implantation processes, the required shielding effect with respect to the channel regions of the transistors may be accomplished. In a later manufacturing stage, the implantation blocking portion may be removed to reduce the gate electrode height to a desired level in order to enhance the process conditions during the deposition of an interlayer dielectric material, thereby significantly reducing the risk of creating irregularities, such as voids, in the interlayer dielectric material, even in densely packed device regions.
    Type: Application
    Filed: April 5, 2010
    Publication date: July 29, 2010
    Inventors: Kai Frohberg, Heike Berthold, Katrin Reiche, Uwe Griebenow
  • Patent number: 7763533
    Abstract: Methods of fabricating semiconductor devices are disclosed. An illustrated example method protects spacers and active areas by performing impurity ion implantation on an oxide layer prior to etching the oxide layer. The illustrated method includes forming a gate on a semiconductor substrate, forming a spacer on a sidewall of the gate, forming an oxide layer over the substrate, forming a mask on the oxide layer to cover a non-salicide area, implanting impurity ions into a portion of the oxide layer which is not covered by the mask, removing the portion of the oxide layer which is implanted with impurity ions, performing salicidation on the substrate, and removing the mask.
    Type: Grant
    Filed: April 24, 2009
    Date of Patent: July 27, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Hyun Su Shin
  • Patent number: 7763510
    Abstract: A semiconductor process and apparatus includes forming PMOS transistors (90) with enhanced hole mobility in the channel region by forming a hydrogen-rich silicon nitride layer (91, 136) on or adjacent to sidewalls of the PMOS gate structure as either a hydrogen-rich implant sidewall spacer (91) or as a post-silicide hydrogen-rich implant sidewall spacer (136), where the hydrogen-rich dielectric layer acts as a hydrogen source for passivating channel surface defectivity under the PMOS gate structure.
    Type: Grant
    Filed: January 7, 2009
    Date of Patent: July 27, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Da Zhang, Voon-Yew Thean
  • Patent number: 7763508
    Abstract: Methods for protecting gate stacks during fabrication of semiconductor devices and semiconductor devices fabricated from such methods are provided. In an embodiment, a method for fabricating a semiconductor device comprises forming a gate stack comprising a first gate stack-forming layer overlying a semiconductor substrate and forming first sidewall spacers about sidewalls of the gate stack. After the step of forming the first sidewall spacers, a portion of the first gate stack-forming layer is exposed. The exposed portion is anisotropically etched using the gate stack and the first sidewall spacers as an etch mask. Second sidewall spacers are formed adjacent the first sidewall spacers after the step of anisotropically etching.
    Type: Grant
    Filed: December 8, 2008
    Date of Patent: July 27, 2010
    Assignee: GlobalFoundries Inc.
    Inventors: Rohit Pal, Man Fai Ng, David Brown
  • Publication number: 20100184266
    Abstract: A semiconductor integrated circuit device includes first, second gate electrodes, first, second diffusion layers, contact electrodes electrically connected to the first diffusion layers, a first insulating film which has concave portions between the first and second gate electrodes and does not contain nitrogen as a main component, a second insulating film which is formed on the first insulating film and does not contain nitrogen as a main component, and a third insulating film formed on the first diffusion layers, first gate electrodes, second diffusion layers and second gate electrodes with the second insulating film disposed therebetween in a partial region. The second insulating film is formed to fill the concave portions and a portion between the first and second gate electrodes has a multi-layered structure containing at least the first and second insulating films.
    Type: Application
    Filed: March 2, 2010
    Publication date: July 22, 2010
    Inventors: Toshitake YAEGASHI, Yoshio Ozawa
  • Publication number: 20100184261
    Abstract: There is provided a semiconductor device including: convex semiconductor layers formed on a semiconductor substrate via an insulating film; gate electrodes formed on a pair of facing sides of the semiconductor layers via a gate insulating film; a channel region formed of silicon between the gate electrodes in the semiconductor layers; a source extension region and a drain extension region formed of silicon germanium or silicon carbon on both sides of the channel region in the semiconductor layers; and a source region formed of silicon so as to adjoin to the opposite side of the channel region in the source extension region, and a drain region formed of silicon so as to adjoin to the opposite side of the channel region in the drain extension region in the semiconductor layers.
    Type: Application
    Filed: March 26, 2010
    Publication date: July 22, 2010
    Inventor: Atsushi YAGISHITA
  • Publication number: 20100184265
    Abstract: Methods for producing a semiconductor device are provided. In one embodiment, a method includes the steps of: (i) fabricating a partially-completed semiconductor device including a substrate, a source/drain region in the substrate, a gate stack overlaying the substrate, and a sidewall spacer adjacent the gate stack; (ii) utilizing an anisotropic etch to remove an upper portion of the sidewall spacer while leaving intact a lower portion of the sidewall spacer overlaying the substrate; (iii) implanting ions in the source/drain region; and (iv) annealing the semiconductor device to activate the implanted ions. The step of annealing is performed with the lower portion of the sidewall spacer intact to deter the ingress of oxygen into the substrate and minimize under-oxide regrowth proximate the gate stack.
    Type: Application
    Filed: January 16, 2009
    Publication date: July 22, 2010
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventors: Kingsuk Maitra, John Iacoponi
  • Patent number: 7759205
    Abstract: Methods for producing a semiconductor device are provided. In one embodiment, a method includes the steps of: (i) fabricating a partially-completed semiconductor device including a substrate, a source/drain region in the substrate, a gate stack overlaying the substrate, and a sidewall spacer adjacent the gate stack; (ii) utilizing an anisotropic etch to remove an upper portion of the sidewall spacer while leaving intact a lower portion of the sidewall spacer overlaying the substrate; (iii) implanting ions in the source/drain region; and (iv) annealing the semiconductor device to activate the implanted ions. The step of annealing is performed with the lower portion of the sidewall spacer intact to deter the ingress of oxygen into the substrate and minimize under-oxide regrowth proximate the gate stack.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: July 20, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kingsuk Maitra, John Iacoponi
  • Patent number: 7759207
    Abstract: An integrated circuit system that includes: providing a gate and a spacer formed over a substrate; performing an implant that amorphizes the gate and a source/drain region defined by the spacer; removing the spacer; depositing a stress memorization layer over the integrated circuit system; and transferring a stress from the stress memorization layer to the gate and the source/drain region.
    Type: Grant
    Filed: March 21, 2007
    Date of Patent: July 20, 2010
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Elgin Kiok Boone Quek, Pradeep Ramachandramurthy Yelehanka
  • Patent number: 7759208
    Abstract: Embodiments of the present invention provide a method that cools a substrate to a temperature below 10° C. and then implants ions into the substrate while the temperature of the substrate is below 10° C. The implanting causes damage to a first depth of the substrate to create an amorphized region in the substrate. The method forms a layer of metal on the substrate and heats the substrate until the metal reacts with the substrate and forms a silicide region within the amorphized region of the substrate. The depth of the silicide region is at least as deep as the first depth.
    Type: Grant
    Filed: March 27, 2009
    Date of Patent: July 20, 2010
    Assignee: International Business Machines Corporation
    Inventors: Asa Frye, Christian Lavoie, Ahmet S. Ozcan, Donald R. Wall
  • Patent number: 7759206
    Abstract: A method of forming a semiconductor device that embeds an L-shaped spacer is provided. The method includes defining an L-shaped spacer on each side of a gate region of a substrate and embedding the L-shaped spacers in an oxide layer so that the oxide layer extends over a portion of the substrate a predetermined distance from a lateral edge of the L-shaped spacer. And removing oxide layers to expose the L-shape spacers.
    Type: Grant
    Filed: November 29, 2005
    Date of Patent: July 20, 2010
    Assignees: International Business Machines Corporation, Chartered Semiconductor Manufacturing Ltd.
    Inventors: Zhijiong Luo, Young Way Teh, Atul C. Ajmera
  • Publication number: 20100176426
    Abstract: A method of manufacturing a transistor (300), the method comprising forming a gate (101) on a substrate (102), forming a spacer (201) on lateral side walls of the gate (101) and on an adjacent portion (202) of the substrate (102), modifying material of the spacer (201) so that the modified spacer (301) covers only a lower portion (303) of the lateral side walls of the gate (101), and providing source/drain regions (301) in the modified spacer (301).
    Type: Application
    Filed: August 29, 2008
    Publication date: July 15, 2010
    Applicant: NXP B.V.
    Inventors: Philippe Meunier-Bellard, Anco Heringa, Johannes Donkers
  • Patent number: 7754555
    Abstract: By forming a stressed semiconductor material in a gate electrode, a biaxial tensile strain may be induced in the channel region, thereby significantly increasing the charge carrier mobility. This concept may be advantageously combined with additional strain-inducing sources, such as embedded strained semiconductor materials in the drain and source regions, thereby providing the potential for enhancing transistor performance without contributing to process complexity.
    Type: Grant
    Filed: February 14, 2007
    Date of Patent: July 13, 2010
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Andreas Gehring, Ralf Van Bentum, Markus Lenski
  • Patent number: 7754572
    Abstract: A semiconductor device has a semiconductor substrate, a pair of diffusion layers formed in a predetermined regions of the semiconductor substrate, a gate insulation film formed on a region of the semiconductor substrate being interposed between the pair of the diffusion layers, a gate electrode formed on the gate insulation film, insulation films formed on the sides of the gate electrode, each of the insulation films being constructed from one or more layers, sidewall spacers formed on the sides of the gate electrode while the insulation films are interposed between the sidewall spacers and the gate electrode, and highly doped diffusion layers formed in the diffusion layers except for the parts under the insulation films and the sidewall spacers.
    Type: Grant
    Filed: September 8, 2005
    Date of Patent: July 13, 2010
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Hisayuki Maekawa
  • Patent number: 7754553
    Abstract: A charge trapping memory device with two separated non-conductive charge trapping inserts is disclosed. The charge trapping memory device has a silicon substrate with two junctions. A gate oxide (GOX) is formed on top of the silicon substrate and between the two junctions. A polysilicon gate is defined over the GOX. A layer of bottom oxide (BOX) is grown on top of the silicon substrate and a conformal layer of top oxide (TOX) is grown along the bottom and the sidewalls of the polysilicon gate. Two charge trapping inserts are located beside the GOX and between the BOX and the TOX. The polysilicon gate needs to be at least partially over each of the two charge trapping inserts. The charge trapping inserts are made from a non-conductive charge trapping material. A method for fabricating such a device is also described.
    Type: Grant
    Filed: December 20, 2007
    Date of Patent: July 13, 2010
    Assignee: Macronix International Co., Ltd.
    Inventor: Yen-Hao Shih
  • Patent number: 7754573
    Abstract: A method for manufacturing a semiconductor device. In one example embodiment of the present invention, a method for manufacturing a semiconductor device includes various steps. First, a gate pattern is formed on a substrate. Next, a first oxide layer is formed on the gate pattern. Then, a second oxide layer, a first silicon nitride layer, and a second silicon nitride layer are sequentially formed over the substrate and the first oxide layer. Next, a first etching process is performed to remove horizontal portions of the first and second silicon nitride layers. Then, source/drain regions are formed in the substrate. Next, the vertical portions first and second silicon nitride layers are removed. Then, a third silicon nitride layer is formed over the second oxide layer. Finally, a second etching process is performed to remove horizontal portions of the third silicon nitride layer and the second oxide layer.
    Type: Grant
    Filed: October 10, 2008
    Date of Patent: July 13, 2010
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Sung Jin Kim
  • Patent number: 7754556
    Abstract: By recessing portions of the drain and source areas on the basis of a spacer structure, the subsequent implantation process for forming the deep drain and source regions may result in a moderately high dopant concentration extending down to the buried insulating layer of an SOI transistor. Furthermore, the spacer structure maintains a significant amount of a strained semiconductor alloy with its original thickness, thereby providing an efficient strain-inducing mechanism. By using sophisticated anneal techniques, undue lateral diffusion may be avoided, thereby allowing a reduction of the lateral width of the respective spacers and thus a reduction of the length of the transistor devices. Hence, enhanced charge carrier mobility in combination with reduced junction capacitance may be accomplished on the basis of reduced lateral dimensions.
    Type: Grant
    Filed: February 7, 2008
    Date of Patent: July 13, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thomas Feudel, Markus Lenski, Andreas Gehring
  • Patent number: 7745298
    Abstract: A method for forming a via includes forming a gate electrode over a semiconductor substrate, forming a source/drain region in the semiconductor substrate adjacent the gate electrode, forming a silicide region in the source/drain region, forming a post-silicide spacer adjacent the gate electrode after forming the silicide region, forming an interlayer dielectric layer over the gate electrode, the post-silicide spacer, and the silicide region, and forming a conductive via in the interlayer dielectric layer, extending to the silicide region.
    Type: Grant
    Filed: November 30, 2007
    Date of Patent: June 29, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Tab A. Stephens, Olubunmi O. Adetutu, Paul A. Grudowski, Matthew T. Herrick
  • Patent number: 7745296
    Abstract: A method for forming raised source and drain regions in a semiconductor manufacturing process employs double disposable spacers. A deposited oxide is provided between the first and second disposable spacers, and serves to protect the gate electrode, first disposable spacers and a cap layer during the dry etching of the larger, second disposable spacers. Mouse ears are thereby prevented, while the use of a second disposable spacer avoids shadow-effects during halo ion-implants.
    Type: Grant
    Filed: June 8, 2005
    Date of Patent: June 29, 2010
    Assignee: Globalfoundries Inc.
    Inventors: Johannes van Meer, Huicai Zhong
  • Patent number: 7741663
    Abstract: Miniaturized complex transistor devices are formed with reduced leakage and reduced miller capacitance. Embodiments include transistors having reduced capacitance between the gate electrode and source/drain contact, as by utilizing a low-K dielectric constant sidewall spacer material. An embodiment includes forming a gate electrode on a semiconductor substrate, forming a sidewall spacer on the side surfaces of the gate electrode, forming source/drain regions by ion implantation, forming an interlayer dielectric over the gate electrode, sidewall spacers, and substrate, and forming a source/drain contact through the interlayer dielectric. The sidewall spacers and interlayer dielectric are then removed. A dielectric material, such as a low-K dielectric material, is then deposited in the gap between the gate electrode and the source/drain contact so that an air gap is formed, thereby reducing the parasitic “miller” capacitance.
    Type: Grant
    Filed: October 24, 2008
    Date of Patent: June 22, 2010
    Assignee: Globalfoundries Inc.
    Inventors: Fred Hause, Anthony C. Mowry, David G. Farber, Markus E. Lenski
  • Publication number: 20100151649
    Abstract: A method of forming a minute pattern includes forming mold patterns spaced apart from each other on an underlying structure, forming polysilicon spacers on sidewalls of the mold patterns, oxidizing the polysilicon spacers to form oxide layer patterns, and forming the minute pattern in a gap between the oxide layer patterns.
    Type: Application
    Filed: December 17, 2009
    Publication date: June 17, 2010
    Inventors: Sung-Dae Suk, Dong-Won Kim, Yun-Young Yeoh
  • Patent number: 7736983
    Abstract: Pipe defects in n-type lightly doped drain (NLDD) regions and n-type source/drain (NDS) regions are associated with arsenic implants, while excess diffusion in NLDD and NSD regions is mainly due to phosphorus interstitial movement. Carbon implantation is commonly used to reduce phosphorus diffusion in the NLDD, but contributes to gated diode leakage (GDL). In high threshold NMOS transistors GDL is commonly a dominant off-state leakage mechanism. This invention provides a method of forming an NMOS transistor in which no carbon is implanted into the NLDD, and the NSD is formed by a pre-amorphizing implant (PAI), a phosphorus implant and a carbon species implant. Use of carbon in the NDS allows a higher concentration of phosphorus, resulting in reduced series resistance and reduced pipe defects. An NMOS transistor with less than 1·1014 cm?2 arsenic in the NSD and a high threshold NMOS transistor formed with the inventive method are also disclosed.
    Type: Grant
    Filed: January 10, 2008
    Date of Patent: June 15, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Puneet Kohli, Manoj Mehrotra, Shaoping Tang
  • Patent number: 7736981
    Abstract: A transistor is provided. The transistor includes a silicon layer including a source region and a drain region. A gate stack is disposed on the silicon layer between the source region and the drain region. The gate stack comprises a first layer of a high dielectric constant material, a second layer comprising a metal or metal alloy, and a third layer comprising silicon or polysilicon. A lateral extent of the second layer of the gate stack is substantially greater than a lateral extent of the third layer of the gate stack. Also provided are methods for fabricating such a transistor.
    Type: Grant
    Filed: May 1, 2008
    Date of Patent: June 15, 2010
    Assignee: International Business Machines Corporation
    Inventors: Leland Chang, Isaac Lauer, Jeffrey W. Sleight
  • Patent number: 7732283
    Abstract: A method of fabricating a semiconductor device is provided. Spacers can be formed on adjacent gate structures and used as an ion implantation mask for forming source/drain regions. The spacers can include a nitride layer and an oxide layer. An etch stop layer can be provided between the gate structures, and the oxide layer can be removed from the spacers. A first oxide layer formed below the nitride layer can be protected from being etched away during removal of the oxide layer from the spacers by the etch stop layer. The etch stop layer and the first oxide layer can be removed, and an interlayer dielectric layer can be deposited.
    Type: Grant
    Filed: October 22, 2007
    Date of Patent: June 8, 2010
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Hyun Ju Lim
  • Patent number: 7732311
    Abstract: In a method of manufacturing a semiconductor device, a conductive layer pattern may be formed on a substrate. An oxide layer may be formed on the substrate to cover the conductive layer pattern. A diffusion barrier layer may be formed by treating the oxide layer to increase an energy required for a diffusion of impurities. An impurity region may be formed on the substrate by implanting impurities into the conductive layer pattern and a portion of the substrate adjacent to the conductive layer pattern, through the diffusion barrier. The impurities in the conductive layer pattern and the impurity region may be prevented or reduced from diffusing, and therefore, the semiconductor device may have improved performance.
    Type: Grant
    Filed: June 20, 2008
    Date of Patent: June 8, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-Suk Shin, Joo-Won Lee, Tae-Gyun Kim
  • Publication number: 20100136763
    Abstract: Provided are methods of forming a semiconductor device, the method including: forming an insulation region on a substrate region, and an active region on the insulation region; patterning the active region to form an active line pattern; forming a gate pattern to surround an upper portion and lateral portions of the active line pattern; separating the gate pattern into a plurality of sub-gate regions, and separating the active line pattern into a plurality of sub-active regions, in order to form a plurality of memory cells that are each formed of the sub-active region and the sub-gate region and that are separated from one another; and forming first and second impurity doping regions along both edges of the sub-active regions included in each of the plurality of the memory cells, wherein the forming of the first and second impurity doping regions comprises doping lateral portions of the sub-active regions via a space between the memory cells.
    Type: Application
    Filed: November 30, 2009
    Publication date: June 3, 2010
    Inventor: Tae-hee Lee
  • Patent number: 7727829
    Abstract: A semiconductor device is formed using a semiconductor substrate. A gate dielectric is formed over the semiconductor substrate. A gate electrode layer is formed over the gate dielectric. A patterned masking layer is formed over the gate electrode layer. A first region of the gate electrode layer lies within an opening in the patterned masking layer. The first region of the gate electrode layer is partially etched to leave an elevated portion of the gate electrode layer and a lower portion adjacent to the elevated portion. A sidewall spacer is formed adjacent to the elevated portion and over the lower portion. An implant is performed into the semiconductor substrate using the elevated portion and the sidewall spacer as a mask. The sidewall spacer and the lower portion are removed.
    Type: Grant
    Filed: February 6, 2007
    Date of Patent: June 1, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Vishal P. Trivedi, Leo Mathew
  • Patent number: 7727845
    Abstract: An ultra shallow junction (USJ) FET device and method for forming the same with improved control over SDE or LDD doped region interfaces to improve device performance and reliability is provided, the method including providing a semiconductor substrate; forming a gate structure comprising a gate dielectric, an overlying gate electrode, and first offset spacers adjacent either side of the gate electrode; forming at least one doped semiconductor layer comprising dopants over a respective source and drain region adjacent the respective first offset spacers; forming second offset spacers adjacent the respective first offset spacers; and, thermally treating the at least one semiconductor layer to cause out-diffusion of the dopants to form doped regions in the semiconductor substrate.
    Type: Grant
    Filed: October 24, 2005
    Date of Patent: June 1, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chih-Hao Wang, Yen-Ping Wang, Steve Ming Ting, Yi-Chun Huang
  • Patent number: 7727838
    Abstract: A method of forming an integrated circuit includes forming a gate structure over a semiconductor body, and forming a shadowing structure over the semiconductor body laterally spaced from the gate structure, thereby defining an active area in the semiconductor body therebetween. The method further includes performing an angled implant into the gate structure, wherein the shadowing structure substantially blocks dopant from the angled implant from implanting into the active area, and performing a source/drain implant into the gate structure and the active area.
    Type: Grant
    Filed: July 27, 2007
    Date of Patent: June 1, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Borna Obradovic, Shashank S. Ekbote
  • Publication number: 20100129974
    Abstract: When a natural oxide film is left at the interface between a metal silicide layer and a silicon nitride film, in various heating steps (steps involving heating of a semiconductor substrate, such as various insulation film and conductive film deposition steps) after deposition of the silicon nitride film, the metal silicide layer partially abnormally grows due to oxygen of the natural oxide film occurring on the metal silicide layer surface. A substantially non-bias (including low bias) plasma treatment is performed in a gas atmosphere containing an inert gas as a main component on the top surface of a metal silicide film of nickel silicide or the like over source/drain of a field-effect transistor forming an integrated circuit. Then, a silicon nitride film serving as an etching stop film of a contact process is deposited. As a result, without causing undesirable cutting of the metal silicide film, the natural oxide film over the top surface of the metal silicide film can be removed.
    Type: Application
    Filed: November 20, 2009
    Publication date: May 27, 2010
    Inventors: Takuya FUTASE, Shuhei MURATA, Takeshi HAYASHI
  • Patent number: 7723222
    Abstract: A flash memory device including a cell region and a logic region formed over a semiconductor substrate; a pair of stacked gates formed spaced apart over the cell region; a pair of first spacers formed over the cell region in direct contact with at least one side of the stacked gates; a pair of gate electrodes formed spaced apart over the logic region; a pair of second spacers formed over the logic region in direct contact with at least one side of the gate electrodes; a first photoresist layer formed over the cell area between the first spacers and a second photoresist layer formed over the logic area between the second spacers, the second photoresist layer having a predetermined thickness sufficient to protect the second spacers.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: May 25, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Cheon Man Sim
  • Patent number: 7723196
    Abstract: A MOSFET is disclosed that comprises a channel between a source extension and a drain extension, a dielectric layer over the channel, a gate spacer structure formed on a peripheral portion of the dielectric layer, and a gate formed on a non-peripheral portion of the dielectric layer, with at least a lower portion of the gate surrounded by and in contact with an internal surface of the gate spacer structure, and the gate is substantially aligned at its bottom with the channel. One method of forming the MOSFET comprises forming the dielectric layer, the gate spacer structure and the gate contact inside a cavity that has been formed by removing a sacrificial gate and spacer structure.
    Type: Grant
    Filed: January 15, 2009
    Date of Patent: May 25, 2010
    Assignee: International Business Machines Corporation
    Inventors: Supratik Guha, Hussein I. Hanafi, Rajaroa Jammy, Paul M. Solomon
  • Publication number: 20100120216
    Abstract: A method of forming low stack height transistors having controllable linewidth in an integrated circuit without channeling is disclosed. A disposable hardmask of doped glass is utilized to define the gate and subsequently protect the gate (and the underlying substrate) during ion implantation which forms the source and drains. A variety of silicided and non-silicided) structures may be formed.
    Type: Application
    Filed: January 19, 2010
    Publication date: May 13, 2010
    Applicant: Agere Systems Inc.
    Inventors: Sailesh Chittipeddi, Taeho Kook, Avinoam Kornblit
  • Patent number: 7704816
    Abstract: Methods of forming boron-containing films are provided. The methods include introducing a boron-containing precursor into a chamber and depositing a network comprising boron-boron bonds on a substrate by thermal decomposition or a plasma process. The network may be post-treated to remove hydrogen from the network and increase the stress of the resulting boron-containing film. The boron-containing films have a stress between about ?10 GPa and 10 GPa and may be used as boron source layers or as strain-inducing layers.
    Type: Grant
    Filed: July 11, 2008
    Date of Patent: April 27, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Jeong-Uk Huh, Mihaela Balseanu, Li-Qun Xia, Derek R. Witty, Hichem M'Saad
  • Patent number: 7700467
    Abstract: Exemplary embodiments provide methods for implementing an ultra-high temperature (UHT) anneal on silicon germanium (SiGe) semiconductor materials by co-implanting carbon into the SiGe material prior to the UHT anneal. Specifically, the carbon implantation can be employed to increase the melting point of the SiGe material such that an ultra high temperature can be used for the subsequent anneal process. Wafer warpage can then be reduced during the UHT anneal process and potential lithographic mis-alignment for subsequent processes can be reduced. Exemplary embodiments further provide an inline control method, wherein the wafer warpage can be measured to determine the litho-mis-alignment and thus to control the fabrication process. In various embodiments, the disclosed methods can be employed for the fabrication of source/drain extension regions and/or source/drain regions of transistor devices, and/or for the fabrication of base regions of bipolar transistors.
    Type: Grant
    Filed: October 15, 2007
    Date of Patent: April 20, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Haowen Bu, Scott Gregory Bushman, Periannan Chidambaram
  • Patent number: 7696051
    Abstract: A MOSFET includes a semiconductor substrate with a first region having a relatively thick first thickness and a second region having a relatively thin second thickness; a gate insulating layer pattern formed on the first region of the semiconductor substrate; a gate conductive layer pattern formed on the gate insulating layer pattern; an epitaxial layer formed on the second region of the semiconductor substrate so as to have a predetermined thickness; spacers formed on sidewalls of the gate conductive layer pattern and part of the surface of the epitaxial layer; a lightly-doped first impurity region formed in the semiconductor substrate disposed below the spacers and in the epitaxial layer; and a heavily-doped second impurity region formed in a portion of the semiconductor substrate, exposed by the spacers.
    Type: Grant
    Filed: July 7, 2005
    Date of Patent: April 13, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: You-seung Jin, Jong-hyon Ahn
  • Patent number: 7696036
    Abstract: An NFET containing a first high-k dielectric portion and a PFET containing a second high-k gate dielectric portion are formed on a semiconductor substrate. A gate sidewall nitride is formed on the gate of the NFET, while the sidewalls of the PFET remain free of the gate sidewall nitride. An oxide spacer is formed directly on the sidewalls of a PFET gate stack and on the gate sidewall nitride on the NFET. After high temperature processing, the first and second dielectric portions contain a non-stoichiometric oxygen deficient high-k dielectric material. The semiconductor structure is subjected to an anneal in an oxygen environment, during which oxygen diffuses through the oxide spacer into the second high-k dielectric portion. The PFET comprises a more stoichiometric high-k dielectric material and the NFET comprises a less stoichiometric high-k dielectric material. Threshold voltages of the PFET and the NFET are optimized by the present invention.
    Type: Grant
    Filed: June 14, 2007
    Date of Patent: April 13, 2010
    Assignee: International Business Machines Corporation
    Inventors: Huiming Bu, Eduard A. Cartier, Bruce B. Doris, Young-Hee Kim, Barry Linder, Vijay Narayanan, Vamsi K. Paruchuri, Michelle L. Steen
  • Patent number: 7696048
    Abstract: A semiconductor device is formed with a normal, non-recessed, spacer structure in a cell region and a recessed spacer structure in a peripheral region. The recessed spacer structure is formed as by etch masking those in the cell region and exposing those in the peripheral region, then performing an etch process. The increased height of the cell region spacers is adapted to further prevent over-etching during gate interconnect formation which would otherwise result in etching through the spacer to the substrate and subsequent short circuit. Therefore, it is also possible to prevent bridge defects due to over-etching, which occurs because the barrier metal layer for a subsequent interconnection contact is accidentally connected to the underlying substrate. Also, since the recessed spacer structure is provided in the peripheral region, it is possible to remarkably enhance a resistance distribution of a cobalt silicide layer occurring in a gate line width of 100 nm or less.
    Type: Grant
    Filed: June 19, 2006
    Date of Patent: April 13, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyung-Shin Kwon, Seug-Gyu Kim
  • Patent number: 7696052
    Abstract: By recessing drain and source regions, a highly stressed layer, such as a contact etch stop layer, may be formed in the recess in order to enhance the strain generation in the adjacent channel region of a field effect transistor. Moreover, a strained semiconductor material may be positioned in close proximity to the channel region by reducing or avoiding undue relaxation effects of metal silicides, thereby also providing enhanced efficiency for the strain generation. In some aspects, both effects may be combined to obtain an even more efficient strain-inducing mechanism.
    Type: Grant
    Filed: November 9, 2006
    Date of Patent: April 13, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Andy Wei, Thorsten Kammler, Jan Hoentschel, Manfred Horstmann, Peter Javorka, Joe Bloomquist
  • Publication number: 20100078733
    Abstract: The present disclosure provides a method for making a semiconductor device having metal gate stacks. The method includes forming a high k dielectric material layer on a semiconductor substrate; forming a first metal layer on the high k dielectric material layer; forming a silicon layer on the first metal layer; patterning the silicon layer, the first metal layer and the high k dielectric material layer to form a gate stack; and performing a silicidation process to fully change the silicon layer into a silicide electrode.
    Type: Application
    Filed: May 8, 2009
    Publication date: April 1, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yuri Masuoka, Huan-Tsung Huang
  • Publication number: 20100081246
    Abstract: A semiconductor device and a method of manufacturing a semiconductor device, the method including forming a gate insulation layer and a gate electrode on a substrate, forming a silicon nitride layer on the gate electrode and the gate insulation layer, partially implanting ions into the silicon nitride layer to convert an upper portion of the silicon nitride layer into a treated silicon layer including the ions, etching the treated silicon layer to form a spacer on a sidewall of the gate electrode, and forming an impurity region in the substrate adjacent to the gate electrode.
    Type: Application
    Filed: September 28, 2009
    Publication date: April 1, 2010
    Inventors: Dong-Suk Shin, Joo-Won Lee
  • Patent number: 7687364
    Abstract: A multi-component low-k isolation spacer for a conductive region in a semiconductor structure is described. In one embodiment, a replacement isolation spacer process is utilized to enable the formation of a two-component low-k isolation spacer adjacent to a sidewall of a gate electrode in a MOS-FET device.
    Type: Grant
    Filed: August 7, 2006
    Date of Patent: March 30, 2010
    Assignee: Intel Corporation
    Inventor: Bernhard Sell