Utilizing Gate Sidewall Structure Patents (Class 438/303)
  • Publication number: 20130049078
    Abstract: A semiconductor device and a manufacturing method thereof is provided. The method comprises: providing a substrate for the semiconductor device with a gate structure and a first dielectric interlayer being formed thereon, said gate structure comprising a metal gate and an upper surface of said first dielectric interlayer being substantially flush with an upper surface of said gate; forming an interface layer to cover at least the upper surface of said gate such that the upper surface of said gate is protected from being oxidized; and forming a second dielectric interlayer on said interface layer.
    Type: Application
    Filed: December 9, 2011
    Publication date: February 28, 2013
    Applicant: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION
    Inventors: XINPENG WANG, Yi Huang, Shih-Mou Chang
  • Publication number: 20130052783
    Abstract: Disclosed herein are various methods of forming stressed silicon-carbon areas in an NMOS transistor device. In one example, a method disclosed herein includes forming a layer of amorphous carbon above a surface of a semiconducting substrate comprising a plurality of N-doped regions and performing an ion implantation process on the layer of amorphous carbon to dislodge carbon atoms from the layer of amorphous carbon and to drive the dislodged carbon atoms into the N-doped regions in the substrate.
    Type: Application
    Filed: August 24, 2011
    Publication date: February 28, 2013
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Stefan Flachowsky, Ralf Illgen, Thilo Scheiper, Jan Hoentschel
  • Patent number: 8383486
    Abstract: A semiconductor device includes a first-type internal stress film formed of a silicon oxide film over source/drain regions of an nMISFET and a second-type internal stress film formed of a TEOS film over source/drain regions of a pMISFET. In a channel region of the nMISFET, a tensile stress is generated in the direction of movement of electrons due to the first-type internal stress film, so that the mobility of electrons is increased. In a channel region of the pMISFET, a compressive stress is generated in the direction of movement of holes due to the second-type internal stress film, so that the mobility of holes is increased.
    Type: Grant
    Filed: June 1, 2012
    Date of Patent: February 26, 2013
    Assignee: Panasonic Corporation
    Inventors: Masafumi Tsutsui, Hiroyuki Umimoto, Kaori Akamatsu
  • Patent number: 8384183
    Abstract: An integrated circuit and a method of making the integrated circuit provide a Hall effect element having a germanium Hall plate. The germanium Hall plate provides an increased electron mobility compared with silicon, and therefore, a more sensitive Hall effect element.
    Type: Grant
    Filed: February 19, 2010
    Date of Patent: February 26, 2013
    Assignee: Allegro Microsystems, Inc.
    Inventors: Harianto Wong, William P. Taylor, Ravi Vig
  • Patent number: 8377786
    Abstract: Embodiments of methods for fabricating the semiconductor devices are provided. The method includes forming a layer of spacer material over a semiconductor region that includes a first gate electrode structure and a second gate electrode structure. Carbon is introduced into a portion of the layer covering the semiconductor region about the first gate electrode structure or the second gate electrode structure. The layer is etched to form a first sidewall spacer about the first gate electrode structure and a second sidewall spacer about the second gate electrode structure.
    Type: Grant
    Filed: February 3, 2011
    Date of Patent: February 19, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Stephan-Detlef Kronholz, Peter Javorka, Roman Boschke
  • Patent number: 8377769
    Abstract: A method for integrating a replacement gate in a semiconductor device is disclosed.
    Type: Grant
    Filed: August 2, 2011
    Date of Patent: February 19, 2013
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Gaobo Xu, Qiuxia Xu
  • Patent number: 8377782
    Abstract: A method for fabricating a non-volatile memory device with asymmetric source/drain junctions, wherein a gate stack is formed on a semiconductor substrate, and impurity ions are implanted at a predetermined angle to form a source/drain junction in the semiconductor substrate. Thermal treatment of the semiconductor substrate forms an asymmetrically disposed source/drain junction between adjacent gate stacks.
    Type: Grant
    Filed: December 27, 2011
    Date of Patent: February 19, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventors: Young Ok Hong, Myung Shik Lee
  • Patent number: 8377777
    Abstract: A semiconductor device includes a semiconductor substrate; gates, spacers on both sides of the respective gates, and source and gain regions on both sides of the respective spacers, which are formed on the semiconductor substrate; lower contacts located on the respective source and gain regions and abutting outer-sidewalls of the spacers, with bottoms covering at least a portion of the respective source and gain regions; an inter-layer dielectric layer formed on the gates, the spacers, the source and gain regions, and the lower contacts, wherein the respective source and gain regions of each of the transistor structures are isolated from each other by the inter-layer dielectric layer; and upper contacts formed in the inter-layer dielectric layer and corresponding to the lower contacts. Methods for fabricating such a semiconductor device and for manufacturing contacts for semiconductor devices.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: February 19, 2013
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Haizhou Yin, Zhijiong Luo, Huilong Zhu
  • Publication number: 20130037867
    Abstract: According to one embodiment, a semiconductor device includes a substrate, a gate electrode, a channel region, a source region and a drain region. The source region forms a first boundary with the channel region, and the drain region forms a second boundary with the channel region. A side of the gate electrode at the side of the source region has a plurality of convex portions extending along a gate length direction, a side of the gate electrode at the side of the drain region is parallel to a gate width direction, the first boundary and the second boundary have shapes corresponding to the side of the gate electrode at the side of the source region and the side of the gate electrode at the side of the drain region, and the length of the first boundary is more than the length of the second boundary.
    Type: Application
    Filed: February 23, 2012
    Publication date: February 14, 2013
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Kanna ADACHI
  • Patent number: 8372705
    Abstract: CMOS transistors are formed incorporating a gate electrode having tensely stressed spacers on the gate sidewalls of an n channel field effect transistor and having compressively stressed spacers on the gate sidewalls of a p channel field effect transistor to provide differentially stressed channels in respective transistors to increase carrier mobility in the respective channels.
    Type: Grant
    Filed: January 25, 2011
    Date of Patent: February 12, 2013
    Assignee: International Business Machines Corporation
    Inventors: Lahir Shaik Adam, Sanjay C Mehta, Balasubramanian S Haran, Bruce B. Doris
  • Patent number: 8372722
    Abstract: A method of fabricating semiconductor device includes forming a recess having a substantially rectangular section and forming an oxide layer on sidewalls and an oxide layer on a bottom of the recess by anisotropic oxidation, wherein the oxide layer on the sidewalls is thinner than the oxide layer on the bottom of recess. The method further includes completely removing the oxide layer on the sidewalls and partially removing the oxide layer on the bottom of the recess. The method also includes performing an orientation selective wet etching on the recess using a remaining oxide layer of the recess as a stop layer to shape the sidewalls into a ? shaped section. The method includes removing the remaining oxide layer using an isotropic wet etching.
    Type: Grant
    Filed: November 4, 2011
    Date of Patent: February 12, 2013
    Assignee: Semiconductor Manufacturing International (Beijing) Corporation
    Inventors: Qingsong Wei, Yonggen He, Huanxin Liu, Jialei Liu, Chaowei Li
  • Publication number: 20130034944
    Abstract: Methods for fabricating a semiconductor device are disclosed. A metal-rich silicide and/or a mono-silicide is formed on source/drain (S/D) regions. A millisecond anneal is provided to the metal-rich silicide and/or the mono-silicide to form a di-silicide with limited spikes at the interface between the silicide and substrate. The di-silicide has an additive which can lower the electron Schottky barrier height.
    Type: Application
    Filed: October 5, 2012
    Publication date: February 7, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: TAIWAN SEMICONDUCTOR MANUFACTURING
  • Patent number: 8367509
    Abstract: A method for forming a contact of a semiconductor device with reduced step height is disclosed, comprising forming a plurality of gates, forming a buffer layer on each of the gates, forming an insulating layer to fill spaces between the gates, forming strip-shaped photoresist patterns which cross the gates, etching the insulating layer to form first openings using a self-aligning process with the gates and the strip-shaped photoresist patterns as a mask, forming a conductive contact layer to fill the first openings, performing a first chemical mechanical polish (CMP) process to the conductive contact layer, removing the buffer layer, and forming a second chemical mechanical polish (CMP) process to the conductive contact layer.
    Type: Grant
    Filed: September 21, 2011
    Date of Patent: February 5, 2013
    Assignee: Nanya Technology Corporation
    Inventors: Jeng-Hsing Jang, Yi-Nan Chen, Hsien-Wen Liu
  • Patent number: 8361869
    Abstract: The present application discloses a method for manufacturing a gate-all-around field effect transistor, comprising the steps of: forming a suspended fin in a semiconductor substrate; forming a gate stack around the fin; and forming source/drain regions in the fin on both sides of the gate stack, wherein an isolation dielectric layer is formed in a portion of the semiconductor substrate which is adjacent to bottom of both the fin and the gate stack. The present invention relates to a method for manufacturing a gate-all-around device on a bulk silicon substrate, which suppress a self-heating effect and a floating-body effect of the SOI substrate, and lower a manufacture cost. The inventive method is a conventional top-down process with respect to a reference plane, which can be implemented as a simple manufacture process, and is easy to be integrated into and compatible with a planar CMOS process. The inventive method suppresses a short channel effect and promotes miniaturization of MOSFETs.
    Type: Grant
    Filed: February 17, 2011
    Date of Patent: January 29, 2013
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Huajie Zhou, Yi Song, Qiuxia Xu
  • Patent number: 8361872
    Abstract: A method of forming a semiconductor device includes: forming a channel of a field effect transistor (FET) in a substrate; forming a heavily doped region in the substrate; and forming recesses adjacent the channel and the heavily doped region. The method also includes: forming an undoped or lightly doped intermediate layer in the recesses on exposed portions of the channel and the heavily doped region; and forming source and drain regions on the intermediate layer such that the source and drain regions are spaced apart from the heavily doped region by the intermediate layer.
    Type: Grant
    Filed: September 7, 2010
    Date of Patent: January 29, 2013
    Assignee: International Business Machines Corporation
    Inventors: Jin Cai, Toshiharu Furukawa, Robert R. Robison
  • Publication number: 20130023103
    Abstract: A method for fabricating a semiconductor device is implemented by using a stress memorization technique. The method includes the following steps. Firstly, a substrate is provided, wherein a gate structure is formed over the substrate. Then, a pre-amorphization implantation process is performed to define an amorphized region at a preset area of the substrate with the gate structure serving as an implantation mask. During the pre-amorphization implantation process is performed, the substrate is controlled at a temperature lower than room temperature. Then, a stress layer is formed on the gate structure and a surface of the amorphized region. Then, a thermal treatment process is performed to re-crystallize the amorphized region of the substrate. Afterwards, the stress layer is removed.
    Type: Application
    Filed: July 19, 2011
    Publication date: January 24, 2013
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Chan-Lon YANG, Ching-I LI, Ger-Pin LIN
  • Patent number: 8357581
    Abstract: The present disclosure provides a method for making a semiconductor device having metal gate stacks. The method includes forming a high k dielectric material layer on a semiconductor substrate; forming a first metal layer on the high k dielectric material layer; forming a silicon layer on the first metal layer; patterning the silicon layer, the first metal layer and the high k dielectric material layer to form a gate stack; and performing a silicidation process to fully change the silicon layer into a silicide electrode.
    Type: Grant
    Filed: August 25, 2011
    Date of Patent: January 22, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yuri Masuoka, Huan-Tsung Huang
  • Patent number: 8357573
    Abstract: Performance of P-channel transistors may be enhanced on the basis of an embedded strain-inducing semiconductor alloy by forming a gate electrode structure on the basis of a high-k dielectric material in combination with a metal-containing cap layer in order to obtain an undercut configuration of the gate electrode structure. Consequently, the strain-inducing semiconductor alloy may be formed on the basis of a sidewall spacer of minimum thickness in order to position the strain-inducing semiconductor material closer to a central area of the channel region.
    Type: Grant
    Filed: May 3, 2010
    Date of Patent: January 22, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Stephan Kronholz, Markus Lenski, Vassilios Papageorgiou
  • Publication number: 20130015511
    Abstract: According to one embodiment, a semiconductor device includes a fin-type semiconductor layer formed on a semiconductor substrate, a source layer connected to one end of the fin-type semiconductor layer, a drain layer connected to the other end of the fin-type semiconductor layer, and a gate electrode that includes a first sub electrode that is arranged on the source layer side of the fin-type semiconductor layer to extend toward the drain layer side on the base side of the fin-type semiconductor layer and has a first work function and a second sub electrode that is arranged on the drain layer side of the fin-type semiconductor layer and has a second work function different from the first work function.
    Type: Application
    Filed: July 11, 2012
    Publication date: January 17, 2013
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Toshitaka MIYATA, Nobutoshi AOKI
  • Publication number: 20130017661
    Abstract: A method of fabricating semiconductor device includes forming a recess having a substantially rectangular section and forming an oxide layer on sidewalls and an oxide layer on a bottom of the recess by anisotropic oxidation, wherein the oxide layer on the sidewalls is thinner than the oxide layer on the bottom of recess. The method further includes completely removing the oxide layer on the sidewalls and partially removing the oxide layer on the bottom of the recess. The method also includes performing an orientation selective wet etching on the recess using a remaining oxide layer of the recess as a stop layer to shape the sidewalls into a ? shaped section. The method includes removing the remaining oxide layer using an isotropic wet etching.
    Type: Application
    Filed: November 4, 2011
    Publication date: January 17, 2013
    Applicant: Semiconductor Manufacturing International (Beijing) Corporation
    Inventors: QINGSONG WEI, YONGGEN He, HUANXIN Liu, Jialei Liu, Chaowei Li
  • Patent number: 8349685
    Abstract: A method and manufacture for memory device fabrication is provided. In one embodiment, at least one oxide-nitride spacer is formed as follows. An oxide layer is deposited over a flash memory device such that the deposited oxide layer is at least 250 Angstroms thick. The flash memory device includes a substrate and dense array of word line gates with gaps between each of the word lines gate in the dense array. Also, the deposited oxide layer is deposited such that it completely gap-fills the gaps between the word line gates of the dense array of word line gates. Next, a nitride layer is depositing over the oxide layer. Then, the nitride layer is etched until the at least a portion of the oxide layer is exposed. Next, the oxide layer is etched until at least a portion of the substrate is exposed.
    Type: Grant
    Filed: December 3, 2010
    Date of Patent: January 8, 2013
    Assignee: Spansion LLC
    Inventors: Angela T. Hui, Shenqing Fang
  • Patent number: 8349684
    Abstract: A semiconductor device including a control terminal sidewall spacer structure made of a high-K dielectric material. The semiconductor device includes a control terminal where the spacer structure is a sidewall spacer structure for the control terminal. The semiconductor device includes current terminal regions located in a substrate. In some examples, the spacer structure has a height that is less than the height of the control terminal. In some examples, the spacer structure includes portions located over the regions of the substrate between the first current terminal region and the second current terminal region.
    Type: Grant
    Filed: November 19, 2009
    Date of Patent: January 8, 2013
    Assignees: Freescale Semiconductor, Inc., International Business Machines Corporation
    Inventors: Jin Cai, Amlan Majumdar, Ramachandran Muralidhar, Ghavam G. Shahidi
  • Patent number: 8343827
    Abstract: In a CMIS device, to improve the operating characteristics of an n-channel electric field transistor that is formed by using a strained silicon technique, without degrading the operating characteristics of a p-channel field effect transistor. After forming a source/drain (an n-type extension region and an n-type diffusion region) of an nMIS and a source/drain (a p-type extension region and a p-type diffusion region) of a pMIS, the each source/drain having a desired concentration profile and resistance, a Si:C layer having a desired amount of strain is formed in the n-type diffusion region, and thus the optimum parasitic resistance and the optimum amount of strain in the Si:C layer are obtained in the source/drain of the nMIS. Moreover, by performing a heat treatment in forming the Si:C layer in a short time equal to or shorter than 1 millisecond, a change in the concentration profile of the respective p-type impurities of the already-formed p-type extension region and p-type diffusion region is suppressed.
    Type: Grant
    Filed: July 14, 2011
    Date of Patent: January 1, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Tadashi Yamaguchi, Keiichiro Kashihara, Yoji Kawasaki
  • Publication number: 20120329234
    Abstract: A method includes forming a gate over a substrate having a semiconductor layer comprising silicon. The gate has a sidewall spacer on sides of the gate. The gate has a gate length less than or equal to 50 nanometers. The gate is formed of polysilicon. A cobalt layer is formed on a top of the gate and the sidewall spacer. A titanium nitride layer is formed on the cobalt layer. The titanium nitride layer has a thickness over the gate in a range of 10 to 14 nanometers. An anneal is performed to form a cobalt silicide layer on the top of the gate and leave cobalt on the sidewall spacer. An etchant is applied that etches cobalt and titanium nitride selective to cobalt silicide to the titanium nitride layer. The cobalt is on the sidewall spacer and the cobalt silicide layer. An anneal is performed to increase conductivity of the cobalt silicide layer.
    Type: Application
    Filed: June 22, 2011
    Publication date: December 27, 2012
    Inventors: Jason T. Porter, Dmitri Kulik
  • Patent number: 8338316
    Abstract: A plasma enhanced physical vapor deposition process deposits an amorphous carbon layer on an ion-implanted wafer for use in dynamic surface annealing of the wafer with an intense line beam of a laser wavelength. The deposition process is carried out at a wafer temperature below the dopant clustering threshold temperature, and includes introducing the wafer into a chamber having a carbon-containing target overlying the wafer, and furnishing a carrier gas into the chamber. The process further includes generating a wafer bias voltage and applying target source power to the carbon-containing target sufficient to produce ion bombardment of the carbon-containing target. The wafer bias voltage is set to a level at which the amorphous carbon layer that is deposited has a desired extinction coefficient at the laser wavelength.
    Type: Grant
    Filed: May 19, 2011
    Date of Patent: December 25, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Vijay Parihar, Christopher Dennis Bencher, Rajesh Kanuri, Marlon E. Menezes
  • Publication number: 20120322218
    Abstract: A method for fabricating a semiconductor device includes the following steps. Firstly, a dummy gate structure having a dummy gate electrode layer is provided. Then, the dummy gate electrode layer is removed to form an opening in the dummy gate structure, thereby exposing an underlying layer beneath the dummy gate electrode layer. Then, an ammonium hydroxide treatment process is performed to treat the dummy gate structure. Afterwards, a metal material is filled into the opening.
    Type: Application
    Filed: June 16, 2011
    Publication date: December 20, 2012
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Chien-Ming LAI, Yi-Wen Chen, Zhi-Cheng Lee, Tong-Jyun Huang, Che-Hua Hsu, Kun-Hsien Lin, Tzung-Ying Lee, Chi-Mao Hsu, Hsin-Fu Huang, Chin-Fu Lin
  • Publication number: 20120319182
    Abstract: A semiconductor device production method includes: forming in a silicon substrate first and second region of first and second conductivity type in contact with each other; forming a gate electrode above the first and the second region; forming an insulation film covering part of the gate electrode and part of the second region; forming a source region and a drain region of the second conductivity type; forming interlayer insulation film covering the gate electrode and the insulation film; and forming in the interlayer insulation film first, second and third contact hole reaching the source region, the drain region, and the gate electrode, respectively, and at least one additional hole reaching the insulation film, and forming a conductive film in the first, the second, and the third contact hole and the additional hole to form first, second and third electrically conductive via and electrically conductive member.
    Type: Application
    Filed: April 16, 2012
    Publication date: December 20, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Shigeo Satoh, Takae Sukegawa
  • Patent number: 8334185
    Abstract: Devices are formed with an oxide liner and nitride layer before forming eSiGe spacers. Embodiments include forming first and second gate stacks on a substrate, forming an oxide liner over the first and second gate stacks, forming a nitride layer over the oxide liner, forming a resist over the first gate stack, forming nitride spacers from the nitride layer over the second gate stack, forming eSiGe source/drain regions for the second gate stack, subsequently forming halo/extension regions for the first gate stack, and independently forming halo/extension regions for the second gate stack. Embodiments include forming the eSiGe regions by wet etching the substrate with TMAH using the nitride spacers as a soft mask, forming sigma shaped cavities, and epitaxially growing in situ boron doped eSiGe in the cavities.
    Type: Grant
    Filed: April 19, 2011
    Date of Patent: December 18, 2012
    Assignee: Globalfoundries Inc.
    Inventors: Stephan Kronholz, Matthias Kessler, Ricardo Mikalo
  • Publication number: 20120313154
    Abstract: The present invention discloses a MOS transistor having a combined-source structure with low power consumption, which relates to a field of field effect transistor logic devices and circuits in CMOS ultra-large-scaled integrated circuits. The MOS transistor includes a control gate electrode layer, a gate dielectric layer, a semiconductor substrate, a Schottky source region, a highly-doped source region and a highly-doped drain region. An end of the control gate extends to the highly-doped source region to form a T shape, wherein the extending region of the control gate is an extending gate and the remaining region of the control gate is a main gate. The active region covered by the extending gate is a channel region, and material thereof is the substrate material. A Schottky junction is formed between the Schottky source region and the channel under the extending gate.
    Type: Application
    Filed: October 14, 2011
    Publication date: December 13, 2012
    Applicant: PEKING UNIVERSITY
    Inventors: Ru Huang, Qianqian Huang, Zhan Zhan, Xin Huang, Yangyuan Wang
  • Patent number: 8329549
    Abstract: Sophisticated gate stacks including a high-k dielectric material and a metal-containing electrode material may be covered by a protection liner, such as a silicon nitride liner, which may be maintained throughout the entire manufacturing sequence at the bottom of the gate stacks. For this purpose, a mask material may be applied prior to removing cap materials and spacer layers that may be used for encapsulating the gate stacks during the selective epitaxial growth of a strain-inducing semiconductor alloy. Consequently, enhanced integrity may be maintained throughout the entire manufacturing sequence, while at the same time one or more lithography processes may be avoided.
    Type: Grant
    Filed: November 24, 2009
    Date of Patent: December 11, 2012
    Assignee: Advanced Micro Devices Inc.
    Inventors: Sven Beyer, Frank Seliger, Gunter Grasshoff
  • Patent number: 8329526
    Abstract: Dielectric cap layers of sophisticated high-k metal gate electrode structures may be efficiently removed on the basis of a sacrificial fill material, thereby reliably preserving integrity of a protective sidewall spacer structure, which in turn may result in superior uniformity of the threshold voltage of the transistors. The sacrificial fill material may be provided in the form of an organic material that may be reduced in thickness on the basis of a wet developing process, thereby enabling a high degree of process controllability.
    Type: Grant
    Filed: October 15, 2010
    Date of Patent: December 11, 2012
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Jens Heinrich, Frank Seliger, Ralf Richter, Markus Lenski
  • Patent number: 8329550
    Abstract: A method of fabricating a transistor in a semiconductor device includes forming a gate structure over a substrate, forming a first trench by etching the substrate on either side of the gate structure to a first depth, ion-implanting dopants of a first conductivity type to form a source/drain region in the substrate on the side of the gate structure with the first trench, etching the substrate on the side of the gate structure with the first trench to a second depth larger than the first depth to form a second trench, and growing an epitaxial layer within the second trench.
    Type: Grant
    Filed: October 20, 2011
    Date of Patent: December 11, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Min-Jung Shin
  • Publication number: 20120305988
    Abstract: A semiconductor structure and a method for forming the same are provided. The semiconductor structure includes a substrate; a plurality of convex structures formed on the substrate, in which every two adjacent convex structures are separated by a cavity; a plurality of floated films, in which each floated film is formed between the every two adjacent convex structures and connected with tops of the every two adjacent convex structures, the floated films are partitioned into a plurality of sets, a channel layer is formed on a convex structure between the floated films in each set, a source region and a drain region are formed on two sides of the channel layer respectively, and an isolation portion is set between two adjacent sets of floated films; and a gate stack formed on each channel layer.
    Type: Application
    Filed: November 11, 2011
    Publication date: December 6, 2012
    Applicant: TSINGHUA UNIVERSITY
    Inventors: Jing Wang, Lei Guo
  • Patent number: 8324061
    Abstract: A method for manufacturing a semiconductor device includes the steps of: forming a first gate stack on a semiconductor substrate, the first gate stack includes a first gate conductor and a first gate dielectric between the first gate conductor and the semiconductor substrate; forming source/drain regions on the semiconductor substrate; forming a multilayer structure including at least one sacrificial layer and at least one insulating layer under the sacrificial layer on the semiconductor substrate and the first gate stack; performing a first RIE on the multilayer structure; performing a second RIE on the multilayer structure; selectively etching the first gate stack with respect to the insulating layer, in which the first gate conductor is removed and an opening is formed in the insulating layer; and forming a second gate conductor in the opening.
    Type: Grant
    Filed: February 17, 2011
    Date of Patent: December 4, 2012
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Huaxiang Yin, Qiuxia Xu, Gaobo Xu, Lingkuan Meng, Tao Yang, Dapeng Chen
  • Publication number: 20120299078
    Abstract: According to one embodiment, there is disclosed a semiconductor storage device comprising a semiconductor substrate and a plurality of electrical rewritable nonvolatile memory cells. Each of the memory cells includes a floating gate and a control gate on the semiconductor substrate. Each of the memory cells shares a source/drain region with an adjacent memory cell. The memory cells are connected serially and configure a NAND cell unit. The source/drain region includes silicide layer.
    Type: Application
    Filed: March 14, 2012
    Publication date: November 29, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Hiroshi KAMEI, Saori SHIMMEI, Norio OHTANI
  • Publication number: 20120302025
    Abstract: The present application provides a method for manufacturing a semiconductor structure, which comprises following steps: providing a substrate; forming a gate dielectric layer on the substrate; forming a dummy gate structure on the gate dielectric layer, wherein the dummy gate is formed from a polymer material; implanting dopants into portions of the substrates on opposite sides of the dummy gate structure to form source/drain regions; removing the dummy gate; annealing the source/drain regions to activate the dopants; and forming a metal gate. According to the present invention, it is proposed to manufacture a dummy gate structure with a polymer material, which significantly simplifies the subsequent etching process for removing the dummy gate structure and alleviates the etching difficulty accordingly.
    Type: Application
    Filed: August 25, 2011
    Publication date: November 29, 2012
    Applicant: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
    Inventors: Haizhou Yin, Huilong Zhu, Zhijiong Luo
  • Patent number: 8318571
    Abstract: A method for forming a MOS device with an ultra shallow lightly doped diffusion region includes providing a gate dielectric layer overlying a substrate surface region, forming a gate structure overlying the gate dielectric layer, performing a first implant process using a germanium species to form an amorphous region within an LDD region using the gate structure as a mask, and performing a second implant process in the LDD region using a P-type impurity and a carbon species. A first thermal process activates the P-type impurity in the LDD region, forming side wall spacers overlying the gate structure, and performing a third implant process using a first impurity to form active source/drain regions in a vicinity of the surface region adjacent to the gate structure using the gate structure and the spacers as a mask. A second thermal process then activates the first impurity in the active source/drain regions.
    Type: Grant
    Filed: October 24, 2008
    Date of Patent: November 27, 2012
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Chia Hao Lee
  • Patent number: 8318570
    Abstract: A device and method for improving performance of a transistor includes gate structures formed on a substrate having a spacing therebetween. The gate structures are formed in an operative relationship with active areas fainted in the substrate. A stress liner is formed on the gate structures. An angled ion implantation is applied to the stress liner such that ions are directed at vertical surfaces of the stress liner wherein portions of the stress liner in contact with the active areas are shielded from the ions due to a shadowing effect provided by a height and spacing between adjacent structures.
    Type: Grant
    Filed: December 1, 2009
    Date of Patent: November 27, 2012
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Bruce B. Doris, Ying Zhang
  • Publication number: 20120292673
    Abstract: A semiconductor device and manufacture method thereof is disclosed. The method includes: forming a gate on a substrate; forming a stack including a first material layer, a second material layer, and a third material layer from inner to outer in sequence; etching the stack to form sidewall spacers on opposite sidewalls of the gate; performing ion implantation to form a source region and a drain region; partially or completely removing the remaining portion of the third material layer; performing a pre-cleaning process, wherein all or a portion of the remaining portion of the second material layer is removed; forming silicide on top of the source region, the drain region, and the gate; depositing a stress film to cover the silicide and the remaining portion of the first material layer. According to the above method, the stress proximity technique (SPT) can be realized while avoiding silicide loss.
    Type: Application
    Filed: December 13, 2011
    Publication date: November 22, 2012
    Applicant: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventor: Weizhong Xu
  • Patent number: 8309418
    Abstract: A field effect transistor device includes a substrate, a silicon germanium (SiGe) layer disposed on the substrate, gate dielectric layer lining a surface of a cavity defined by the substrate and the silicon germanium layer, a metallic gate material on the gate dielectric layer, the metallic gate material filling the cavity, a source region, and a drain region.
    Type: Grant
    Filed: August 23, 2010
    Date of Patent: November 13, 2012
    Assignee: International Business Machines Corporation
    Inventors: Dechao Guo, Shu-Jen Han, Chung-Hsun Lin
  • Publication number: 20120280250
    Abstract: A method of fabricating a semiconductor device that includes at least two fin structures, wherein one of the at least two fin structures include epitaxially formed in-situ doped second source and drain regions having a facetted exterior sidewall that are present on the sidewalls of the fin structure. In another embodiment, the disclosure also provides a method of fabricating a finFET that includes forming a recess in a sidewall of a fin structure, and epitaxially forming an extension dopant region in the recess that is formed in the fin structure. Structures formed by the aforementioned methods are also described.
    Type: Application
    Filed: May 4, 2011
    Publication date: November 8, 2012
    Applicants: GLOBALFOUNDRIES INC., INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Veeraraghavan S. Basker, Kangguo Cheng, Bruce B. Doris, Johnathan E. Faltermeier, Sivananda K. Kanakasabapathy, Hemant Adhikari
  • Patent number: 8304831
    Abstract: The present disclosure provides a semiconductor device having a transistor. The transistor includes a substrate and first and second wells that are disposed within the substrate. The first and second wells are doped with different types of dopants. The transistor includes a first gate that is disposed at least partially over the first well. The transistor further includes a second gate that is disposed over the second well. The transistor also includes source and drain regions. The source and drain regions are disposed in the first and second wells, respectively. The source and drain regions are doped with dopants of a same type.
    Type: Grant
    Filed: February 8, 2010
    Date of Patent: November 6, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming Zhu, Lee-Wee Teo, Han-Guan Chew, Harry Hak-Lay Chuang
  • Publication number: 20120273850
    Abstract: A semiconductor device and a method for fabricating the same are disclosed. A fin of the semiconductor device including a fin-shaped channel region is configured in the form of a non-uniform structure, and a leakage current caused by the electric field effect generated in the semiconductor device is prevented from being generated, resulting in an increased operation stability of the semiconductor device.
    Type: Application
    Filed: January 10, 2012
    Publication date: November 1, 2012
    Applicant: Hynix Semiconductor Inc.
    Inventor: Sung Kil CHUN
  • Publication number: 20120273790
    Abstract: In one embodiment, a method of manufacturing a semiconductor device includes forming an amorphous semiconductor film on a substrate. The method further includes annealing the amorphous semiconductor film by irradiating the substrate with a microwave to form a polycrystalline semiconductor film from the amorphous semiconductor film. The method further includes forming a transistor whose channel is the polycrystalline semiconductor film.
    Type: Application
    Filed: March 8, 2012
    Publication date: November 1, 2012
    Inventors: Tomonori AOYAMA, Kiyotaka MIYANO
  • Patent number: 8288219
    Abstract: A stack of two polysilicon layers is formed over a semiconductor body region. A DDD implant is performed to form a DDD source region in the semiconductor body region along a source side of the polysilicon stack but not along a drain side of the polysilicon stack. Off-set spacers are formed along opposing side-walls of the polysilicon stack. A source/drain implant is performed to form a drain region in the semiconductor body region along the drain side of the polysilicon stack and to form a highly doped region within the DDD source region such that the extent of an overlap between the polysilicon stack and each of the drain region and the highly doped region is inversely dependent on a thickness of the off-set spacers, and a lateral spacing directly under the polysilicon stack between adjacent edges of the DDD source region and the highly doped region is directly dependent on the thickness of the off-set spacers.
    Type: Grant
    Filed: March 20, 2008
    Date of Patent: October 16, 2012
    Assignee: Hynix Semiconductor, Inc.
    Inventors: Peter Rabkin, Hsingya Arthur Wang, Kai-Cheng Chou
  • Patent number: 8288757
    Abstract: A recess along a sidewall is formed in a pMOS region and an nMOS region. An SiC layer of which thickness is thicker than a depth of the recess is formed in the recess. A sidewall covering a part of the SiC layer is formed at both lateral sides of a gate electrode in the pMOS region. A recess is formed by selectively removing the SiC layer in the pMOS region. A side surface of the recess at the gate insulating film side is inclined so that the upper region of the side surface, the closer to the gate insulating film in a lateral direction at a region lower than the surface of the silicon substrate. An SiGe layer is formed in the recess in the pMOS region.
    Type: Grant
    Filed: September 29, 2010
    Date of Patent: October 16, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Hiroyuki Ohta, Yosuke Shimamune
  • Publication number: 20120248511
    Abstract: A semiconductor structure including a substrate and a gate structure disposed on the substrate is disclosed. The gate structure includes a gate dielectric layer disposed on the substrate, a gate material layer disposed on the gate dielectric layer and an outer spacer with a rectangular cross section. The top surface of the outer spacer is lower than the top surface of the gate material layer.
    Type: Application
    Filed: April 1, 2011
    Publication date: October 4, 2012
    Inventors: Ted Ming-Lang Guo, Chin-Cheng Chien, Shu-Yen Chan, Ling-Chun Chou, Tsung-Hung Chang, Chun-Yuan Wu
  • Patent number: 8278179
    Abstract: A method of forming a semiconductor structure includes providing a substrate including a fin at a surface of the substrate, and forming a fin field-effect transistor (FinFET), which further includes forming a gate stack on the fin; forming a thin spacer on a sidewall of the gate stack; and epitaxially growing a epitaxy region starting from the fin. After the step of epitaxially growing the epitaxy region, a main spacer is formed on an outer edge of the thin spacer. After the step of forming the main spacer, a deep source/drain implantation is performed to form a deep source/drain region for the FinFET.
    Type: Grant
    Filed: March 9, 2010
    Date of Patent: October 2, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Da-Wen Lin, Che-Min Chu, Tsung-Hung Li, Chih-Hung Tseng, Yen-Chun Lin, Chung-Cheng Wu
  • Patent number: 8273632
    Abstract: The present disclosure provides a method of fabricating a semiconductor device. The method includes forming a patternable layer over a substrate. The method includes forming a first layer over the patternable layer. The method includes forming a second layer over the first layer. The second layer is substantially thinner than the first layer. The method includes patterning the second layer with a photoresist material through a first etching process to form a patterned second layer. The method includes patterning the first layer with the patterned second layer through a second etching process to form a patterned first layer. The first and second layers have substantially different etching rates during the second etching process. The method includes patterning the patternable layer with the patterned first layer through a third etching process.
    Type: Grant
    Filed: October 26, 2011
    Date of Patent: September 25, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu Chao Lin, Ming-Ching Chang, Yih-Ann Lin, Ryan Chia-Jen Chen, Chao-Cheng Chen
  • Patent number: 8273631
    Abstract: A method of fabricating an NMOS transistor, in which, an epitaxial silicon layer is formed before a salicide process is performed, then a nickel layer needed for the salicide process is formed, and, thereafter, a rapid thermal process is performed to allow the nickel layer to react with the epitaxial silicon layer and the silicon substrate under the epitaxial silicon layer to form a nickel silicide layer.
    Type: Grant
    Filed: December 14, 2009
    Date of Patent: September 25, 2012
    Assignee: United Microelectronics Corp.
    Inventors: I-Chang Wang, Ling-Chun Chou, Ming-Tsung Chen