Radiation Or Energy Treatment Modifying Properties Of Semiconductor Regions Of Substrate (e.g., Thermal, Corpuscular, Electromagnetic, Etc.) Patents (Class 438/308)
  • Publication number: 20020058387
    Abstract: The HF defect density in an SOI is reduced. An SOI having a thickness of 200 nm or less is annealed in an inert atmosphere at a temperature between the eutectic temperature (e.g., 966° C.) of a semiconductor metal compound (e.g., nickel silicide) formed from a metal and the semiconductor material of the crystal semiconductor of the SOI (inclusive) and the melting point of the semiconductor material (inclusive).
    Type: Application
    Filed: September 25, 2001
    Publication date: May 16, 2002
    Inventor: Masataka Ito
  • Patent number: 6387782
    Abstract: A process for forming an ultra-shallow junction depth, doped region within a silicon substrate. The process includes forming a dielectric film on the substrate, then implanting an ionic dopant species into the structure. The profile of the implanted species includes a population implanted through the dielectric film and into the silicon substrate, and a peak concentration deliberately confined in the dielectric film in close proximity to the interface between the dielectric film and the silicon substrate. A high-energy, low-dosage implant process is used and produces a structure that is substantially free of dislocation loops and other defect clusters. An annealing process is used to drive the peak concentration closer to the interface, and some of the population of the originally implanted species from the dielectric film into the silicon substrate.
    Type: Grant
    Filed: June 6, 2001
    Date of Patent: May 14, 2002
    Assignees: International Business Machines Corporation, Infineon Technologies North America Corp.
    Inventors: Hiroyuki Akatsu, Omer H. Dokumaci, Suryanarayan G. Hegde, Yujun Li, Rajesh Rengarajan, Paul A. Ronsheim
  • Patent number: 6380044
    Abstract: A high-speed semiconductor transistor and process for forming same. The process includes forming, in a Si substrate (10), spaced apart shallow trench isolations (STIs) (20), and a gate (36) atop the substrate between the STIs. Then, regions (40,44) of the substrate on either side of the gate are either amorphized and doped, or just doped. In certain embodiments of the invention, extension regions (60,62 or 60′,62′) and deep drain and deep source regions (80, 84 or 80′,84′) are formed. In other embodiments, just deep drain and deep source regions (80, 84 or 80′, 84′) are formed. A conformal layer (106) is then formed atop the substrate, covering the substrate surface (11) and the gate. The conformal layer can serve to absorb light and/or to distribute heat to the underlying structures. Then, at least one of front-side irradiation (110) and back-side irradiation (116) is performed to activate the drain and source regions and, if present, the extensions.
    Type: Grant
    Filed: April 12, 2000
    Date of Patent: April 30, 2002
    Assignee: Ultratech Stepper, Inc.
    Inventors: Somit Talwar, Yun Wang, Michael O. Thompson
  • Publication number: 20020048891
    Abstract: A semiconductor material and a method for forming the same, said semiconductor material having produced by a process comprising melting a noncrystal semiconductor film containing therein carbon, nitrogen, and oxygen each at a concentration of 5×1019 atoms·cm−3 or lower, preferably 1×1019 atoms·cm−3 or lower, by irradiating a laser beam or a high intensity light equivalent to a laser beam to said noncrystal semiconductor film, and then recrystallizing the thus molten amorphous silicon film. The present invention provides thin film semiconductors having high mobility at an excellent reproducibility, said semiconductor materials being useful for fabricating thin film semiconductor devices such as thin film transistors improved in device characteristics.
    Type: Application
    Filed: March 9, 1998
    Publication date: April 25, 2002
    Inventors: SHUNPEI YAMAZAKI, HONGYONG ZHANG, NAOTO KUSUMOTO, YASUHIKO TAKEMURA
  • Patent number: 6372520
    Abstract: A method and apparatus for repairing and improving the endurance characteristics of process damaged oxide film formed in a semiconductor device involving sonic annealing by vibrating or oscillating a wafer at a predetermined frequency, wave amplitude, and duration. A signal from a frequency generator is amplified by a voltage amplifier and then sent to a speaker or other acoustic device for the production of vibrating acoustical wave energy. This acoustical wave energy is then directed at a submicron device wafer during a specified time period in order to anneal the gate oxide and, thereby, improve the characteristics of the oxide film.
    Type: Grant
    Filed: July 10, 1998
    Date of Patent: April 16, 2002
    Assignee: LSI Logic Corporation
    Inventors: Kang-Jay Hsia, George H. Maggard, David W. Daniel
  • Patent number: 6372592
    Abstract: A method for making a self-aligned FET with an electrically active mask comprises the steps of forming a semiconductor layer on an insulating substrate, forming an electrically nonconductive oxide layer on the semiconductor layer, forming an electrically conductive metal layer on the oxide layer, patterning the metal layer and the oxide layer to form an electrically active gate on semiconductor layer, introducing dopants into the semiconductor layer to form a source region and a drain region masked by the metal gate, and illuminating the source and the drain regions with a pulsed excimer laser having a wavelength from about 150 nm to 350 nm to anneal the source region and the drain region.
    Type: Grant
    Filed: December 18, 1996
    Date of Patent: April 16, 2002
    Assignee: United States of America as represented by the Secretary of the Navy
    Inventors: Stephen D. Russell, Douglas A. Sexton, Bruce W. Offord, George P. Imthurn
  • Patent number: 6365476
    Abstract: A simplified and cost reduced process for fabricating a field-effect transistor semiconductor device (104) using laser radiation is disclosed. The process includes the step of forming removable first dielectric spacers (116R) on the sides (120a, 120b) of the gate (120). Dopants are implanted into the substrate (100) and the substrate is annealed to form an active deep source (108) and an active deep drain (110). The sidewall spacers are removed, and then a blanket pre-amorphization implant is performed to form source and drain amorphized regions (200a, 200b) that include respective extension regions (118a, 118b) that extend up to the gate. A layer of material (210 is deposited over the source and drain extensions, the layer being opaque to a select wavelength of laser radiation (220). The layer is then irradiated with laser radiation of the select wavelength so as to selectively melt the amorphized source and drain extensions, but not the underlying substrate.
    Type: Grant
    Filed: October 27, 2000
    Date of Patent: April 2, 2002
    Assignee: Ultratech Stepper, Inc.
    Inventors: Somit Talwar, Yun Wang
  • Patent number: 6358806
    Abstract: A method for fabricating a semiconducting device on a substrate, where the improvement includes forming a strained silicon carbide channel layer on the substrate. A silicon layer is formed on top of the strained silicon carbide channel layer. A gate insulation layer is formed on top of the silicon layer and strained silicon carbide channel layer, at a temperature that does not exceed about eight hundred centigrade. A gate electrode is formed on top of the gate insulation layer, and the gate electrode is patterned. A low dose drain dopant is impregnated into the substrate, and activated with a first laser anneal. A source drain dopant is impregnated into the substrate, and activated with a second laser anneal. After the step of activating the low dose drain dopant with the first laser anneal, an insulating layer is formed around the gate electrode, at a temperature that does not exceed about eight hundred centigrade, and a spacer is formed around the gate electrode.
    Type: Grant
    Filed: June 29, 2001
    Date of Patent: March 19, 2002
    Assignee: LSI Logic Corporation
    Inventor: Helmut Puchner
  • Patent number: 6358784
    Abstract: A process for laser processing an article, which comprises: heating the intended article to be doped with an impurity to a temperature not higher than the melting point thereof, said article being made from a material selected from a semiconductor, a metal, an insulator, and a combination thereof; and irradiating a laser beam to the article in a reactive gas atmosphere containing said impurity, thereby allowing the impurity to physically or chemically diffuse into, combine with, or intrude into said article.
    Type: Grant
    Filed: September 2, 1998
    Date of Patent: March 19, 2002
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hongyong Zhang, Shunpei Yamazaki, Yasuhiko Takemura
  • Patent number: 6355543
    Abstract: A method for making a ULSI MOSFET chip includes forming a transistor gate on a substrate and defining the contours of shallow source/drain extensions by implanting a first pre-amorphization (PAI) substance into the substrate. A sidewall spacer is then formed on the substrate next to the gate, and a second PAI substance is implanted into the substrate to defame the contours of a deep source/drain junction. Then, a dopant is provided on the surface of the substrate, and the portions of the substrate that contain PAI substances are silicidized to render the portions relatively more absorbing of laser energy. These pre-amorphized portions are then annealed by laser to melt only the pre-amorphized portions. During melting, the dopant is driven from the surface of the substrate into the pre-amorphized portions to thereby establish source/drain regions below the gate.
    Type: Grant
    Filed: September 29, 1998
    Date of Patent: March 12, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Publication number: 20020025615
    Abstract: In producing a semiconductor device by annealing with laser light irradiation, while a linear laser light is scanned in a direction perpendicular to a line, the annealing is performed for a semiconductor material. In this state, since an anneal effect in a beam lateral direction corresponding to a line direction is 2 times or more different than that in the scanning direction, a plurality of semiconductor elements are formed along a line direction in which the linear laser light is irradiated. Also, a line direction connecting the source and drain region of a thin film transistor is aligned to the line direction of the linear laser light.
    Type: Application
    Filed: April 24, 2001
    Publication date: February 28, 2002
    Inventors: Shunpei Yamazaki, Naoto Kusumoto, Koichiro Tanaka
  • Patent number: 6340609
    Abstract: The present invention is related to the method of forming thin film transistor that can be used for flat display devices wherein a method of making TFT for display devices have the steps of, forming subsidiary conductor patterns connecting plurality of electrically isolated conductor patterns, implanting impurity ions utilizing the conductor patterns and subsidiary conductor patterns as implantation mask and removing the subsidiary conductor patterns.
    Type: Grant
    Filed: November 13, 2000
    Date of Patent: January 22, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-Won Hwang, Dong-Hwan Kim
  • Patent number: 6337237
    Abstract: A capacitor processing method includes forming a capacitor comprising first and second electrodes having a capacitor dielectric region therebetween. The first electrode interfaces with the capacitor dielectric region at a first interface. The second electrode interfaces with the capacitor dielectric region at a second interface. The capacitor dielectric region has a plurality of oxygen vacancies therein. After forming the capacitor, an electric field is applied to the capacitor dielectric region to cause oxygen vacancies to migrate towards one of the first and second interfaces. Oxygen atoms are preferably provided at the one interface effective to fill at least a portion of the oxygen vacancies in the capacitor dielectric region. Preferably at least a portion of the oxygen vacancies in the high k capacitor dielectric region are filled from oxide material comprising the first or second electrode most proximate the one interface.
    Type: Grant
    Filed: September 1, 1999
    Date of Patent: January 8, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Gurtej S. Sandhu
  • Patent number: 6335246
    Abstract: Methods of forming field effect transistors and related field effect transistor constructions are described. A masking layer is formed over a semiconductive substrate and an opening having sidewalls is formed therethrough. The opening defines a substrate area over which a field effect transistor gate is to be formed. A dopant of a first conductivity type is provided through the opening and into the substrate. Sidewall spacers are formed over respective sidewalls of the opening. Enhancement dopant of a second conductivity type which is different from the first conductivity type is provided through the opening and into the substrate. A transistor gate is formed within the opening proximate the sidewall spacers, and source/drain regions of the second conductivity type are diffused into the substrate operably proximate the transistor gate. The first conductivity type dopant forms a halo region proximate the source/drain regions and lightly doped drain (LDD) regions for the transistor.
    Type: Grant
    Filed: January 31, 2000
    Date of Patent: January 1, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Zhiqiang Wu, Paul Hatab
  • Patent number: 6335253
    Abstract: A new method of forming MOS transistors with shallow source and drain extensions and self-aligned silicide in the has been achieved. Gates are provided overlying a semiconductor substrate. Temporary sidewall spacers are formed on the gates. Ions are implanted into the semiconductor substrate and the polysilicon layer to form deep amorphous layers beside the spacers and shallow amorphous layers under the spacers. The spacers are removed. Ions are implanted to form lightly doped junctions in the shallower amorphous layer. Permanent sidewall spacers are formed on the gates. Ions are implanted to form heavily doped junctions in the deeper amorphous layer. A metal layer is deposited. A capping layer is deposited to protect the metal layer during irradiation. The integrated circuit device is irradiated with laser light to melt the amorphous layer while the crystalline polysilicon and semiconductor substrate remain in solid state.
    Type: Grant
    Filed: July 12, 2000
    Date of Patent: January 1, 2002
    Assignees: Chartered Semiconductor Manufacturing Ltd., National University of Singapore
    Inventors: Yung Fu Chong, Kin Leong Pey, Alex See, Andrew Thye Shen Wee
  • Patent number: 6326284
    Abstract: A semiconductor device produced by forming an oxide film on a substrate, heat treating the oxide film at a temperature of 800° C. or higher in an inert atmosphere, followed by conventional steps for formation of a transistor, is improved in electrical reliability due to relaxation of stress generated in the oxide film or in the surface of substrate.
    Type: Grant
    Filed: March 4, 1996
    Date of Patent: December 4, 2001
    Assignee: Hitachi, Ltd.
    Inventors: Hideo Miura, Shuji Ikeda, Norio Suzuki, Yasuhide Hagiwara, Hiroyuki Ohta, Asao Nishimura
  • Patent number: 6326219
    Abstract: The invention is directed to methods for determining the wavelength, pulse length and other important characteristics of radiant energy used to anneal or to activate the source and drain regions of an integrated transistor device which has been doped through implantation of dopant ions, for example. In general, the radiant energy pulse is determined to have a wavelength from 450 to 900 nanometers, a pulse length of 0.1 to 50 nanoseconds, and an exposure energy dose of from 0.1 to 1.0 Joules per square centimeter. A radiant energy pulse of the determined wavelength, pulse length and energy dose is directed onto the source and drain regions to trigger activation. In cases where the doped region has been rendered amorphous, activation requires crystallization using the crystal structure at the boundaries as a seed.
    Type: Grant
    Filed: April 5, 1999
    Date of Patent: December 4, 2001
    Assignee: Ultratech Stepper, Inc.
    Inventors: David A. Markle, Somit Talwar, Andrew M. Hawryluk
  • Publication number: 20010044186
    Abstract: The present invention includes a method for reducing random bit data loss in a memory circuit. The method comprises a semiconductor layer that has a surface. The semiconductor layer is exposed at an elevated temperature to an atmosphere comprising deuterium thereby forming a film on the semiconductor layer comprising deuterium. A memory circuit is fabricated on or within the semiconductor layer.
    Type: Application
    Filed: August 25, 1999
    Publication date: November 22, 2001
    Inventor: ALAN R. REINBERG
  • Patent number: 6319759
    Abstract: A method of forming oxide and gate oxide areas of differing thicknesses. The processes disclosed include using an electromagnetic wave light at differing exposure durations and/or different energy levels to create oxide of differing thicknesses on a layer. The electromagnetic wave is preferably a laser.
    Type: Grant
    Filed: August 10, 1998
    Date of Patent: November 20, 2001
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Mark C. Hakey, Steven J. Holmes, David V. Horak, William H. Ma
  • Publication number: 20010041461
    Abstract: A process for forming a junction termination extension (JTE) oxide having reduced total oxide charge and SiO2—Si interface trap density parameters uses precursor densified thin oxide layers, to improve the quality of subsequently formed thicker oxide layers, and multiple anneals to remove implant damage and set geometry parameters. After formation of a first dual oxide layer, and a post-oxidation anneal, the oxide is patterned and JTE regions are implanted. Implant-based near surface crystalline damage is annealed out in a non-oxidizing ambient, and JTE dopants are partially driven into adjoining material of the substrate. A thin dense bulk precursor oxide layer is grown on the exposed JTE dopant-implanted surface portions of the substrate, followed by forming the bulk of the JTE oxide in a steam or wet oxygen atmosphere. The substrate is then annealed in a non-oxidizing ambient, to cause a further drive-in of the JTE dopants. The associated reduction in Qox and Dit improves high voltage edge stability.
    Type: Application
    Filed: October 6, 1998
    Publication date: November 15, 2001
    Inventors: RODNEY S. RIDLEY, JASON R. TROST, RAYMOND J. WEBB
  • Publication number: 20010039063
    Abstract: The invention is directed to methods for determining the wavelength, pulse length and other important characteristics of radiant energy used to anneal or to activate the source and drain regions of an integrated transistor device which has been doped through implantation of dopant ions, for example. In general, the radiant energy pulse is determined to have a wavelength from 450 to 900 nanometers, a pulse length of 0.1 to 50 nanoseconds, and an exposure energy dose of from 0.1 to 1.0 Joules per square centimeter. A radiant energy pulse of the determined wavelength, pulse length and energy dose is directed onto the source and drain regions to trigger activation. In cases where the doped region has been rendered amorphous, activation requires crystallization using the crystal structure at the boundaries as a seed.
    Type: Application
    Filed: April 5, 1999
    Publication date: November 8, 2001
    Inventors: DAVID A. MARKLE, SOMIT TALWAR, ANDREW M. HAWRYLUK
  • Patent number: 6312993
    Abstract: A method for making trench DMOS is provided that utilizes polycide and refractory techniques to make trench DMOS which exhibit low gate resistance, low gate capacitance, reduced distributed RC gate propagation delay, and improved switching speeds for high frequency applications.
    Type: Grant
    Filed: February 29, 2000
    Date of Patent: November 6, 2001
    Assignee: General Semiconductor, Inc.
    Inventors: Fwu-Iuan Hshieh, Koon Chong So
  • Patent number: 6312981
    Abstract: A method for producing a semiconductor device includes the steps of: forming an impurity diffusion layer for controlling a threshold voltage by ion implantation; and conducting a high-temperature rapid heat treatment for recovering crystal defects generated by the ion implantation. More specifically, treatment conditions for the high-temperature rapid heat treatment are set in such a manner that interstitial atoms causing the crystal defects are diffused, and impurities in the impurity diffusion layer are not diffused. For example, the high-temperature rapid heat treatment is conducted in a temperature range of about 900° C. to about 1100° C.
    Type: Grant
    Filed: February 23, 2000
    Date of Patent: November 6, 2001
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Kaori Akamatsu, Shinji Odanaka, Hiroyuki Umimoto
  • Publication number: 20010036706
    Abstract: A thermal processing apparatus rapidly increases and decreases a temperature of a target object at a low-power consumption. The target object is subjected to a thermal treatment in a process camber. A heat source heats the target object from a side of a first surface of the target object. A cooling arrangement including a bottom part of the process chamber cools the object from a side of a second surface opposite to the first surface. A gas having high thermal conductivity is introduced into a space between the target object and the bottom part so as to promote heat transfer from the object to the bottom part of the process chamber. A moving mechanism moves at least one of the object and the bottom part of the process chamber so that the object can be heated with less influence by the cooling arrangement being positioned away from the target object while the target object can be efficiently cooled by the cooling arrangement being positioned close to the target object.
    Type: Application
    Filed: April 20, 2001
    Publication date: November 1, 2001
    Inventor: Masayuki Kitamura
  • Publication number: 20010031519
    Abstract: A method of fabricating an electronic device comprising a thin-film transistor, which addresses a problem of increased off-state current and reduced carrier mobility in self-aligned thin-film transistors. According to the method, a gate layer (2,46) is etched back underneath a mask layer (20,48). Following an implantation step using the mask layer as an implantation mask, the etch-back exposes implant damage which is then annealed by an energy beam (42).
    Type: Application
    Filed: March 29, 2001
    Publication date: October 18, 2001
    Applicant: PHILIPS CORPORATION
    Inventors: John R.A. Ayres, Stanley D. Brotherton, Carole A. Fisher, Frnak W. Rohlfing, Nigel D. Young
  • Publication number: 20010031537
    Abstract: A silicon substrate including an impurity doped thereinto is raised in temperature to a predetermined annealing temperature, and then the temperature of the silicon substrate reaching the annealing temperature is decreased at variable speeds such that the temperature is decreased at a high speed initially and a low speed latterly. The temperature of the silicon substrate is decreased at such a speed as the impurity with a reduced solid solubility due to the decreased temperature is not acted upon by thermal energy to disconnect the impurity from the silicon substrate.
    Type: Application
    Filed: April 12, 2001
    Publication date: October 18, 2001
    Applicant: NEC Corporation
    Inventor: Tomoko Matsuda
  • Patent number: 6303450
    Abstract: Disclosed is a method comprising providing a silicon surface with an underlying insulator layer, providing a plurality of gates adjacent to source/drain regions, growing source/drains between the said gates such that the source/drains are thicker in regions of larger gate-to-gate pitch, and doping the source/drains with one or more dopants such that the dopants abut the underlying insulator layer.
    Type: Grant
    Filed: November 21, 2000
    Date of Patent: October 16, 2001
    Assignee: International Business Machines Corporation
    Inventors: Heemyong Park, Anda C. Mocuta, Werner Rausch
  • Patent number: 6300174
    Abstract: A method of fabricating a liquid crystal panel comprises the steps of forming a black matrix on a substrate, forming a buffer layer on the substrate, forming semiconductors layer on the buffer layer, doping n+ impurities into a semiconductor layer on a pixel region on the substrate and in one semiconductor layer of the driver circuit region forming a gate insulating layer on the buffer layer and the semiconductor layer, forming a gate electrode on the gate electrode, introducing n− impurities into the semiconductor layer using the gate electrode as a mask after patterning of the gate insulating layer, doping p+ impurities into another semiconductor layer of the driver circuit unit, forming an insulating layer having a contact hole over the pixel region, forming a transparent electrode on the pixel region and into the contact hole.
    Type: Grant
    Filed: June 17, 1999
    Date of Patent: October 9, 2001
    Assignee: LG Electronics Inc.
    Inventor: Sung-Sik Bae
  • Patent number: 6300208
    Abstract: The invented method can be used to melt and recrystallize the source and drain regions of an integrated transistor device(s) using a laser, for example. The invented method counteracts shadowing and interference effects caused by the presence of the gate region(s) during annealing of the source and drain regions with radiant energy generated by a laser, for example. The invented method includes forming a radiant energy absorber layer over at least the gate region(s) of an integrated transistor device(s), and irradiating the radiant energy absorber layer with radiant energy to generate heat in the source and drain regions as well as in the radiant energy absorber layer. The heat generated in the radiant energy absorber layer passes through the gate region(s) to portions of source and drain regions of the integrated transistor device(s) adjacent the gate region(s).
    Type: Grant
    Filed: February 16, 2000
    Date of Patent: October 9, 2001
    Assignee: Ultratech Stepper, Inc.
    Inventors: Somit Talwar, Gaurav Verma
  • Patent number: 6291302
    Abstract: A method of providing a field effect transistor includes depositing a layer of a laser-reflective material on a substrate which has an active region and an inactive region; selectively removing portions of the deposited layer disposed over the active region; exposing laser energy to activate dopants in the active region; and stripping the deposited layer.
    Type: Grant
    Filed: January 14, 2000
    Date of Patent: September 18, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6291327
    Abstract: A method for eliminating source/drain shorting generated during the highly-doped source/drain implant steps in a standard STI process is provided. This is achieved by reducing the RTA temperature to be less than 1000° C. so as to minimize enhanced doping diffusion. Further, the energy level for the highly-doped source/drain implant steps is increased so to compensate for poly depletion in the gate electrodes.
    Type: Grant
    Filed: November 13, 1998
    Date of Patent: September 18, 2001
    Assignee: Lattice Semiconductor Corporation
    Inventors: Xiao-Yu Li, Sunil D. Mehta, Christopher O. Schmidt, Robert H. Tu
  • Patent number: 6287925
    Abstract: For forming a highly conductive junction in an active device area of a semiconductor substrate, a first dopant is implanted into the active device area to form a preamorphization region. A second dopant is then implanted into the preamorphization region to have a dopant profile along a depth of the preamorphization region, and the dopant profile has a dopant peak within the preamorphization region. A RTA (Rapid Thermal Anneal) is performed to recrystallize a portion of the preamorphization region from an interface between the preamorphization region and the semiconductor substrate to below the dopant peak. A LTP (Laser Thermal Process) is then performed to recrystallize a remaining portion of the preamorphization region that has not been recrystallized during the RTA (Rapid Thermal Anneal) to activate a substantial portion of the second dopant in the preamorphization region.
    Type: Grant
    Filed: February 24, 2000
    Date of Patent: September 11, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6287927
    Abstract: In one aspect, the invention includes a method of thermal processing comprising: a) providing a semiconductor substrate, the semiconductor substrate supporting a material that is to be thermally processed; b) forming a sacrificial mass over the material, the mass comprising an inner portion and an outer portion, the inner portion having a different composition than the outer portion and being nearer the material than the outer portion; c) exposing the mass to radiation to heat the mass, the exposing being for a period of time sufficient for the material to absorb heat from the mass and be thermally processed thereby; and d) removing the mass from over the material.
    Type: Grant
    Filed: January 20, 2000
    Date of Patent: September 11, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Robert Burke, Mark Eyolfson
  • Patent number: 6281055
    Abstract: A method of fabricating a TFT includes a step of forming an impurity region for a source and a drain by simultaneously implanting and activating impurity ions. More particularly, the present invention includes the steps of forming a gate insulating layer and a gate on a predetermined and selected portion of an active layer, forming an excited region in the exposed portion of the active layer by implanting hydrogen ions to the active layer by using the gate as a mask, and forming an impurity region by implanting impurity ions heavily to the excited region which remains in an excited state.
    Type: Grant
    Filed: April 5, 1999
    Date of Patent: August 28, 2001
    Assignee: LG.Philips LCD Co., Ltd.
    Inventor: Joon-Young Yang
  • Publication number: 20010012651
    Abstract: A laser illumination apparatus for illuminating a semiconductor film with a linear laser beam while scanning the semiconductor film with the linear laser beam. An optical system generates a linear laser beam having a beam width W by dividing a pulse laser beam that is emitted from a pulsed laser light source into a plurality of beams vertically and horizontally, and combines divisional beams after they have been processed into a linear shape individually. A mechanism is provided to move a substrate that is mounted with the semiconductor film. A condition W/20≦&Dgr;(r)≦×≦W/5 or &Dgr;(r)≦W/20≦×≦W/5 is satisfied, where r is a height difference of the surface of the semiconductor film, &Dgr;(r) is a variation amount of the beam width W as a function of the height difference r, and x is a movement distance of the substrate during an oscillation period of the pulsed laser light source.
    Type: Application
    Filed: March 28, 2001
    Publication date: August 9, 2001
    Inventors: Shunpei Yamazaki, Koichiro Tanaka
  • Patent number: 6271066
    Abstract: A semiconductor material and a method for forming the same, said semiconductor material having fabricated by a process comprising irradiating a laser beam or a high intensity light equivalent to a laser beam to an amorphous silicon film containing therein carbon, nitrogen, and oxygen each at a concentration of 5×1019 atoms·cm−3 or lower, preferably 1×1019 atoms·cm−3 or lower, without melting the amorphous silicon film. The present invention provides thin film semiconductors having high mobility at an excellent reproducibility, said semiconductor materials being useful for fabricating compact thin film semiconductor devices such as thin film transistors improved in device characteristics.
    Type: Grant
    Filed: July 23, 1993
    Date of Patent: August 7, 2001
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hongyong Zhang, Naoto Kusumoto, Yasuhiko Takemura
  • Patent number: 6268269
    Abstract: A fabrication method for an oxide layer with reduced interface-trapped charges, which is applicable to the fabrication of a gate oxide layer of a flash memory device, is described. The method includes conducting a first inert ambient annealing process, followed by growing an oxide layer on the silicon substrate. A second inert ambient annealing process is then conducted on the oxide layer. Carbon ions are then incorporated into the interface between the oxide layer and the silicon substrate, followed by a third ambient annealing process.
    Type: Grant
    Filed: December 30, 1999
    Date of Patent: July 31, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Ming-Tsan Lee, Chuan H. Liu, Kuan-Yu Fu
  • Patent number: 6268270
    Abstract: Methods of optimizing a preheat recipe for rapid thermal processing workpieces are provided. In one aspect, a method of manufacturing is provided that includes preheating a rapid thermal processing chamber according to a preheating recipe and processing a first plurality of workpieces in the rapid thermal processing chamber. Parameter measurements are performed on a first workpiece and a second workpiece of the first plurality of workpieces. The parameter measurements are indicative of processing differences between the first and second workpieces. An output signal is formed corresponding to the parameter measurements and a control signal based on the output signal is used to adjust the preheating recipe for preheating the rapid thermal processing chamber for processing a second plurality of workpieces in the rapid thermal processing chamber to reduce processing differences between first and second workpieces of the second plurality of workpieces.
    Type: Grant
    Filed: October 29, 1999
    Date of Patent: July 31, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Glen W. Scheid, Terrence J. Riley, Qingsu Wang, Michael Miller, Si-Zhao J. Qin
  • Publication number: 20010005606
    Abstract: There is provided an optical system for reducing faint interference observed when laser annealing is performed to a semiconductor film. The faint interference conventionally observed can be reduced by irradiating the semiconductor film with a laser beam by the use of an optical system using a mirror of the present invention. The optical system for transforming the shape of the laser beam on an irradiation surface into a linear or rectangular shape is used. The optical system may include an optical system serving to convert the laser beam into a parallel light with respect to a traveling direction of the laser beam. When the laser beam having passed through the optical system is irradiated to the semiconductor film through the mirror of the present invention, the conventionally observed faint interference can be reduced. Besides, the optical system which has been difficult to adjust can be simplified.
    Type: Application
    Filed: December 21, 2000
    Publication date: June 28, 2001
    Inventors: Koichiro Tanaka, Tomoko Nakaya
  • Patent number: 6251718
    Abstract: A method for producing a semiconductor device includes the steps of: forming an impurity diffusion layer for controlling a threshold voltage by ion implantation; and conducting a high-temperature rapid heat treatment for recovering crystal defects generated by the ion implantation. More specifically, treatment conditions for the high-temperature rapid heat treatment are set in such a manner that interstitial atoms causing the crystal defects are diffused, and impurities in the impurity diffusion layer are not diffused. For example, the high-temperature rapid heat treatment is conducted in a temperature range of about 900° C. to about 1100° C.
    Type: Grant
    Filed: February 23, 1998
    Date of Patent: June 26, 2001
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Kaori Akamatsu, Shinji Odanaka, Hiroyuki Umimoto
  • Patent number: 6251779
    Abstract: This invention provides a method of forming a self-aligned silicide of a semiconductor wafer, the surface of the semiconductor wafer comprising at least one silicon device. A cobalt-containing metallic layer is formed on the semiconductor wafer which covers on the surface of the silicon device. A first thermal treatment process is performed to rapidly heat the semiconductor wafer up to 300˜500° C. for 10˜50 seconds and form Co2Si on the surface of the silicon device. A second thermal treatment process is performed to rapidly heat the semiconductor wafer up to 400˜680° C. for 20˜50 seconds and then cool down the semiconductor wafer afterwards so as to convert Co2Si into CoSi. An etching process is performed to remove the metallic layer. A third thermal treatment process is performed to rapidly heat the semiconductor wafer up to 700˜950° C. for 30˜60 seconds and then cool down the semiconductor wafer afterward so as to convert CoSi into the self-aligned silicide.
    Type: Grant
    Filed: June 1, 2000
    Date of Patent: June 26, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Hsiao-Ling Lu, Li-Yeat Chen, Wen-Yi Hsieh
  • Patent number: 6251755
    Abstract: The present invention employs a scanned atomic force probe to physical incorporate impurity atoms (dopant or bandgap) into a semiconductor substrate so that the impurity atoms have high resolution and improved placement. Specifically, the method of the present invention comprising a step of physically contacting a semiconductor surface having a layer of a dopant/bandgap source material thereon such that upon said physical contact impurity atoms from the dopant/bandgap source material are driven into the semiconductor substrate.
    Type: Grant
    Filed: April 22, 1999
    Date of Patent: June 26, 2001
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, John Joseph Ellis-Monaghan, James Albert Slinkman
  • Patent number: 6242292
    Abstract: In producing a semiconductor device by annealing with laser light irradiation, while a linear laser light is scanned in a direction perpendicular to a line, the annealing is performed for a semiconductor material. In this state, since an anneal effect in a beam lateral direction corresponding to a line direction is 2 times or more different than that in the scanning direction, a plurality of semiconductor elements are formed along a line direction in which the linear laser light is irradiated. Also, a line direction connecting the source and drain region of a thin film transistor is aligned to the line direction of the linear laser light.
    Type: Grant
    Filed: December 14, 1995
    Date of Patent: June 5, 2001
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Naoto Kusumoto, Koichiro Tanaka
  • Publication number: 20010002047
    Abstract: A thin film transistor of this invention has a structure obtained by sequentially stacking, on an insulating substrate, a silicon nitride film, a silicon oxide film, a polysilicon thin film with a channel region and source and drain regions facing each other via the channel region, an insulating film, and a gate electrode. The boron concentration decreases from the channel region toward the silicon nitride film in the silicon oxide film region between the channel region and the silicon nitride film. The silicon oxide film region between the channel region and the silicon nitride film is made up of a first region which is in contact with the channel region and has a boron concentration of 1×1016 atoms/cm3 or more, and a second region between the first region and the silicon nitride film, which has a boron concentration of less than 1×1016 atoms/cm3. The first region has a thickness of 200 Å or less.
    Type: Application
    Filed: January 18, 2001
    Publication date: May 31, 2001
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Mitsuaki Suzuki, Shinichi Kamagami, Takuji Nakazono
  • Patent number: 6238990
    Abstract: A method for heat-treating an SOI wafer in a reducing atmosphere, wherein the SOI wafer is heat-treated through use of a rapid thermal annealer at a temperature within the range of 1100° C. to 1300° C. for 1 sec to 60 sec. The reducing atmosphere is preferably an atmosphere of 100% hydrogen or a mixed gas atmosphere containing hydrogen and argon. The heat treatment is preferably performed for 1 sec to 30 sec. The method eliminates COPs in an SOI layer of an SOI wafer in accordance with a hydrogen annealing method, while preventing etching of the SOI layer and a buried oxide layer.
    Type: Grant
    Filed: November 4, 1998
    Date of Patent: May 29, 2001
    Assignee: Shin-Etsu Handotai, Co., Ltd.
    Inventors: Hiroji Aga, Norihiro Kobayashi, Kiyoshi Mitani
  • Patent number: 6225178
    Abstract: A process for oxidizing the silicon layer into a device-isolating field oxide having a radiation-hardened reduced bird's beak. An angled and rotated field implant prior to oxidation is used to increase the doping concentration in the edge region of the MOS transistors to compensate for boron leaching during oxidation. The field oxide is grown at a low temperature by high pressure oxidation which increases total dose hardness by making a silicon-rich oxide film.
    Type: Grant
    Filed: January 2, 1990
    Date of Patent: May 1, 2001
    Assignee: Honeywell Inc.
    Inventors: Gordon A. Shaw, Curtis H. Rahn, Cheisan Yue, Todd A. Randazzo
  • Patent number: 6221726
    Abstract: Silicon device structures designed to allow measurement of important doping process parameters immediately after the doping step has occurred. The test structures are processed through contact formation using standard semiconductor fabrication techniques. After the contacts have been formed, the structures are covered by an oxide layer and an aluminum layer. The aluminum layer is then patterned to expose the contact pads and selected regions of the silicon to be doped. Doping is then performed, and the whole structure is annealed with a pulsed excimer laser. But laser annealing, unlike standard annealing techniques, does not effect the aluminum contacts because the laser light is reflected by the aluminum. Once the annealing process is complete, the structures can be probed, using standard techniques, to ascertain data about the doping step.
    Type: Grant
    Filed: October 26, 1995
    Date of Patent: April 24, 2001
    Assignee: The Regents of the University of Claifornia
    Inventor: Kurt H. Weiner
  • Patent number: 6218249
    Abstract: A process of forming silicide at uniform rates across the entire source/drain region is provided. A two-step annealing method permits the thickness of the silicide formed on the edge of a silicon electrode to be substantially the same as it is in the center of the electrode. A first, low temperature anneal begins the salicidation process across the source/drain electrode surface. The time and temperature are controlled so that the metal is only partially consumed. The annealing is interrupted to remove excess silicidation metal, especially the unreacted metal overlying oxide areas neighboring the silicon electrode. Then, the silicidation is completed at a higher temperature anneal. Because the excess metal has been removed, the resulting silicide layer is uniformly flat, permitting the transistor to be fabricated with shallow junction areas and low leakage currents.
    Type: Grant
    Filed: December 6, 1999
    Date of Patent: April 17, 2001
    Assignees: Sharp Laboratories of America, Inc., Sharp Kabushiki Kaisha
    Inventors: Jer-Shen Maa, Sheng Teng Hsu, Chien-Hsiung Peng
  • Publication number: 20010000243
    Abstract: A polycrystalline thin film of good quality is obtained by improving a crystallization process of a semiconductor thin film using laser light. After conducting a film forming step of forming a non-single crystal semiconductor thin film on a surface of a substrate, an annealing step is conducted by irradiating with laser light to convert the non-single crystal semiconductor thin film to a polycrystalline material. The annealing step is conducted by changing and adjusting the cross sectional shape of the laser light to a prescribed region. The semiconductor thin film is irradiated once or more with a pulse of laser light having an emission time width from upstand to downfall of 50 ns or more and having a constant cross sectional area, so as to convert the semiconductor thin film contained in an irradiated region corresponding to the cross sectional area to a polycrystalline material at a time. At this time, the energy intensity of laser light from upstand to downfall is controlled to apply a desired change.
    Type: Application
    Filed: December 8, 2000
    Publication date: April 12, 2001
    Inventors: Yukiyasu Sugano, Masahiro Fujino, Michio Mano, Akihiko Asano, Masumitsu Ino, Takenobu Urazono, Makoto Takatoku
  • Patent number: 6214684
    Abstract: To form a high-quality insulating layer at a low temperature, a semiconductor layer is formed on an insulating surface of an insulating substrate, and the semiconductor layer is selectively modified by an excimer laser irradiated from a surface opposing the insulating surface side of the semiconductor layer to form an insulating layer.
    Type: Grant
    Filed: September 26, 1996
    Date of Patent: April 10, 2001
    Assignee: Canon Kabushiki Kaisha
    Inventor: Tatsumi Shoji