Radiation Or Energy Treatment Modifying Properties Of Semiconductor Regions Of Substrate (e.g., Thermal, Corpuscular, Electromagnetic, Etc.) Patents (Class 438/308)
  • Patent number: 8343826
    Abstract: When forming sophisticated high-k metal gate electrode structures in an early manufacturing stage on the basis of a silicon/germanium semiconductor alloy for adjusting appropriate electronic conditions in the channel region, the efficiency of a strain-inducing embedded semiconductor alloy, such as a silicon/germanium alloy, may be enhanced by initiating a crystal growth in the silicon material of the gate electrode structure after the gate patterning process. In this manner, the negative strain of the threshold voltage adjusting silicon/germanium alloy may be reduced or compensated for.
    Type: Grant
    Filed: August 4, 2011
    Date of Patent: January 1, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Stephan-Detlef Kronholz, Peter Javorka, Maciej Wiatr
  • Patent number: 8338262
    Abstract: A dual wavelength exposure system provides for patterning a resist layer formed on a wafer for forming semiconductor devices, using two exposure operations, one including a first radiation having a first wavelength and the other including a second radiation including a second wavelength. Different or the same lithography tool may be used to generate the first and second radiation. For each die formed on the semiconductor device, a critical portion of the pattern is exposed using a first exposure operation that uses a first radiation with a first wavelength and a non-critical portion of the pattern is exposed using a second exposure operation utilizing the second radiation at a second wavelength. The resist material is chosen to be sensitive to both the first radiation having a first wavelength and the second radiation having the second wavelength.
    Type: Grant
    Filed: June 4, 2009
    Date of Patent: December 25, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Heng-Jen Lee, Jui-Chun Peng, I-Hsiung Huang
  • Patent number: 8338257
    Abstract: An object of the present invention is to provide a nonvolatile semiconductor storage device with a superior charge holding characteristic in which highly-efficient writing is possible at low voltage, and to provide a manufacturing method thereof. The nonvolatile semiconductor storage device includes a semiconductor film having a pair of impurity regions formed apart from each other and a channel formation region provided between the impurity regions; and a first insulating film, a charge accumulating layer, a second insulating film, and a conductive film functioning as a gate electrode layer which are provided over the channel formation region. In the nonvolatile semiconductor storage device, a second barrier formed by the first insulating film against a charge of the charge accumulating layer is higher in energy than a first barrier formed by the first insulating film against a charge of the semiconductor film.
    Type: Grant
    Filed: March 5, 2010
    Date of Patent: December 25, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 8319272
    Abstract: The invention includes optoelectronic devices containing one or more layers of semiconductor-enriched insulator (with exemplary semiconductor-enriched insulator being silicon-enriched silicon oxide and silicon-enriched silicon nitride), and includes solar cells containing one or more layers of semiconductor-enriched insulator. The invention also includes methods of forming optoelectronic devices and solar cells.
    Type: Grant
    Filed: April 9, 2010
    Date of Patent: November 27, 2012
    Assignee: Micron Technology Inc.
    Inventor: Arup Bhattacharyya
  • Patent number: 8309421
    Abstract: The present invention generally relates to methods of controlling UV lamp output to increase irradiance uniformity. The methods generally include determining a baseline irradiance within a chamber, determining the relative irradiance on a substrate corresponding to a first lamp and a second lamp, and determining correction or compensation factors based on the relative irradiances and the baseline irradiance. The lamps are then adjusted via closed loop control using the correction or compensation factors to individually adjust the lamps to the desired output. The lamps may optionally be adjusted to equal irradiances prior to adjusting the lamps to the desired output. The closed loop control ensures process uniformity from substrate to substrate. The irradiance measurement and the correction or compensation factors allow for adjustment of lamp set points due to chamber component degradation, chamber component replacement, or chamber cleaning.
    Type: Grant
    Filed: January 21, 2011
    Date of Patent: November 13, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Yao-Hung Yang, Abhijit Kangude, Sanjeev Baluja, Michael Martinelli, Liliya Krivulina, Thomas Nowak, Juan Carlos Rocha-Alvarez, Scott Hendrickson
  • Patent number: 8309474
    Abstract: Systems and methods for performing ultrafast laser annealing in a manner that reduces pattern density effects in integrated circuit manufacturing are disclosed. The method includes scanning at least one first laser beam over the patterned surface of a substrate. The at least one first laser beam is configured to heat the patterned surface to a non-melt temperature Tnonmelt that is within about 400° C. of the melt temperature Tmelt. The method also includes scanning at least one second laser beam over the patterned surface and relative to the first laser beam. The at least one second laser beam is pulsed and is configured to heat the patterned surface from the non-melt temperature provided by the at least one first laser beam up to the melt temperature.
    Type: Grant
    Filed: June 7, 2011
    Date of Patent: November 13, 2012
    Assignee: Ultratech, Inc.
    Inventors: Yun Wang, Andrew M. Hawryluk
  • Publication number: 20120276702
    Abstract: A method of manufacturing a semiconductor device includes forming a channel region, forming a buffer layer on the channel region, and heat-treating the channel region by using a gas containing halogen atoms.
    Type: Application
    Filed: April 26, 2012
    Publication date: November 1, 2012
    Inventors: Jun-kyu YANG, Phil-ouk Nam, Ki-hyun Hwang, Jae-young Ahn, Han-mei Choi, Bi-o Kim
  • Patent number: 8288239
    Abstract: A method including introducing a species into a substrate including semiconductor material; and translating linearly focused electromagnetic radiation across a surface of the substrate, the electromagnetic radiation being sufficient to thermally influence the species. An apparatus including an electromagnetic radiation source; a stage having dimensions suitable for accommodating a semiconductor substrate within a chamber; an optical element disposed between the electromagnetic radiation source and the stage to focus radiation from the electromagnetic radiation source into a line having a length determined by the diameter of a substrate to be placed on the stage; and a controller coupled to the electromagnetic radiation source including machine readable program instructions that allow the controller to control the depth into which a substrate is exposed to the radiation.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: October 16, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Dean C. Jennings, Amir Al-Bayati
  • Patent number: 8273633
    Abstract: A method of enhancing dopant activation without suffering additional dopant diffusion, includes forming shallow and lightly-doped source/drain extension regions in a semiconductor substrate, performing a first anneal process on the source/drain extension regions, forming deep and heavily-doped source/drain regions in the substrate adjacent to the source/drain extension regions, and performing a second anneal process on source/drain regions. The first anneal process is a flash anneal process performed for a time of between about 1 millisecond and 3 milliseconds, and the second anneal process is a rapid thermal anneal process performed for a time of between about 1 second and 30 seconds.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: September 25, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Keh-Chiang Kuo, Chien-Hao Chen, Chun-Feng Nieh, Li-Ping Huang, Hsun Chang, Li-Ting Wang, Chih-Chiang Wang, Tze-Liang Lee
  • Patent number: 8216924
    Abstract: Fabrication of a Group III-nitride transistor device can include implanting dopant ions into a stacked Group III-nitride channel layer and Group III-nitride barrier layer to form source/drain regions therein with a channel region therebetween. The channel layer has a lower bandgap energy than the barrier layer along a heterojunction interface between the channel layer and the barrier layer. The source/drain regions have a lower defect centers energy than the channel region. The source/drain regions and the channel region are exposed to a laser beam with a wavelength having a photon energy that is less than the bandgap energy of the channel region and higher than the defect centers energy of the source/drain regions to locally heat the source/drain regions to a temperature that anneals the source/drain regions.
    Type: Grant
    Filed: October 16, 2009
    Date of Patent: July 10, 2012
    Assignee: Cree, Inc.
    Inventor: Alexander V. Suvorov
  • Patent number: 8211796
    Abstract: A semiconductor device manufacturing method has conducting first heating processing at a first heating temperature in an inert atmosphere under a first pressure in a first process chamber to silicide an upper part of the source-drain diffusion layer and form a silicide film; conducting second heating processing at a second heating temperature in an oxidizing atmosphere under a second pressure in a second process chamber to selectively oxidize at least a surface of the metal film on the element isolating insulation film and form a metal oxide film; conducting third heating processing at a third heating temperature which is higher than the first heating temperature and the second heating temperature in an atmosphere in a third process chamber to increase a concentration of silicon in the silicide film; and selectively removing the metal oxide film and an unreacted part of the metal film on the element isolating insulation film.
    Type: Grant
    Filed: October 27, 2011
    Date of Patent: July 3, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takaharu Itani, Koji Matsuo, Kazuhiko Nakamura
  • Publication number: 20120164810
    Abstract: A first impurity region is formed by ion implantation through a first opening formed in a mask layer. By depositing a spacer layer on an etching stop layer on which the mask layer has been provided, a mask portion having the mask layer and the spacer layer is formed. By anisotropically etching the spacer layer, a second opening surrounded by a second sidewall is formed in the mask portion. A second impurity region is formed by ion implantation through the second opening. An angle of the second sidewall with respect to a surface is 90°±10° across a height as great as a second depth. Thus, accuracy in extension of an impurity region can be enhanced.
    Type: Application
    Filed: December 19, 2011
    Publication date: June 28, 2012
    Applicant: Sumitomo Electric Industries, Ltd.
    Inventors: Naoki Ooi, Hiromu Shiomi
  • Publication number: 20120164811
    Abstract: In an embodiment of the present invention, a semiconductor layer having regions into which a p-type impurity, an n-type impurity and a (p+n) impurity are respectively introduced is formed as a surface layer by being heat-treated. An impurity segregation layer on these regions is removed, and a film of a metallic material is thereafter formed on the regions and is heat-treated, thereby forming a silicide film on the semiconductor layer. In another embodiment, an impurity is introduced into the impurity segregation layer, and a film of a metallic material is thereafter formed on the impurity segregation layer and is heat-treated to form a silicide film.
    Type: Application
    Filed: December 29, 2011
    Publication date: June 28, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Kyoichi Suguro, Mitsuaki Izuha
  • Patent number: 8207043
    Abstract: A method for making a semiconductor MOS device is provided. A gate structure is formed on a substrate. A source and a drain are formed in the substrate on both sides of the gate structure. The substrate is then subjected to a pre-amorphization implant (PAI) process. A transitional stress layer is then formed on the substrate. Thereafter, a laser anneal with a first temperature is performed. After the laser anneal, a rapid thermal process is performed with a second temperature that is lower than the first temperature. Subsequently, the transitional stress layer is removed.
    Type: Grant
    Filed: September 28, 2009
    Date of Patent: June 26, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Huang-Yi Lin, Jiun-Hung Shen, Chi-Horn Pai, Yi-Chung Sheng, Shih-Chieh Hsu
  • Patent number: 8198154
    Abstract: Lateral DMOS devices having improved drain contact structures and methods for making the devices are disclosed. A semiconductor device comprises a semiconductor substrate; an epitaxial layer on top of the substrate; a drift region at a top surface of the epitaxial layer; a source region at a top surface of the epitaxial layer; a channel region between the source and drift regions; a gate positioned over a gate dielectric on top of the channel region; and a drain contact trench that electrically connects the drift layer and substrate. The contact trench includes a trench formed vertically from the drift region, through the epitaxial layer to the substrate and filled with an electrically conductive drain plug; electrically insulating spacers along sidewalls of the trench; and an electrically conductive drain strap on top of the drain contact trench that electrically connects the drain contact trench to the drift region.
    Type: Grant
    Filed: September 27, 2010
    Date of Patent: June 12, 2012
    Assignee: Alpha and Omega Semiconductor Incorporated
    Inventor: François Hébert
  • Patent number: 8187926
    Abstract: There is provided a method of removing trap levels and defects, which are caused by stress, from a single crystal silicon thin film formed by an SOI technique. First, a single crystal silicon film is formed by using a typical bonding SOI technique such as Smart-Cut or ELTRAN. Next, the single crystal silicon thin film is patterned to form an island-like silicon layer, and then, a thermal oxidation treatment is carried out in an oxidizing atmosphere containing a halogen element, so that an island-like silicon layer in which the trap levels and the defects are removed is obtained.
    Type: Grant
    Filed: March 12, 2007
    Date of Patent: May 29, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 8183605
    Abstract: By recessing portions of the drain and source areas on the basis of a spacer structure, the subsequent implantation process for forming the deep drain and source regions may result in a moderately high dopant concentration extending down to the buried insulating layer of an SOI transistor. Furthermore, the spacer structure maintains a significant amount of a strained semiconductor alloy with its original thickness, thereby providing an efficient strain-inducing mechanism. By using sophisticated anneal techniques, undue lateral diffusion may be avoided, thereby allowing a reduction of the lateral width of the respective spacers and thus a reduction of the length of the transistor devices. Hence, enhanced charge carrier mobility in combination with reduced junction capacitance may be accomplished on the basis of reduced lateral dimensions.
    Type: Grant
    Filed: June 1, 2010
    Date of Patent: May 22, 2012
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thomas Feudel, Markus Lenski, Andreas Gehring
  • Publication number: 20120122288
    Abstract: During a salicide process, and before a second thermal treatment is performed to a silicide layer of a semiconductor substrate, a thermal conductive layer is formed to cover the silicide layer. The heat provided by the second thermal treatment can be conducted to the silicide layer uniformly through the thermal conductive layer. The thermal conductive layer can be a CESL layer, TiN, or amorphous carbon. Based on different process requirements, the thermal conductive layer can be removed optionally after the second thermal treatment is finished.
    Type: Application
    Filed: November 12, 2010
    Publication date: May 17, 2012
    Inventors: Chao-Ching Hsieh, Nien-Ting Ho
  • Publication number: 20120112249
    Abstract: A method for fabricating a semiconductor device employs the way of first performing thermal annealing to the source/drain regions and then forming an ion-implanted region, such as a retrograde well. The method comprises the steps of: removing said dummy gate so as to expose said dummy gate dielectric layer and form an opening; performing ion implantation on the substrate from the opening to form an ion-implanted region; removing the dummy gate dielectric layer; performing thermal annealing to activate the dopants of the ion-implanted region; and depositing a new gate dielectric layer and a new metal gate in the opening in sequence, wherein the formed new gate dielectric layer covers the substrate and the inner walls of the sidewall spacers.
    Type: Application
    Filed: June 25, 2010
    Publication date: May 10, 2012
    Applicant: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
    Inventors: Haizhou Yin, Huilong Zhu, Zhijiong Luo
  • Patent number: 8173496
    Abstract: A stack including at least an insulating layer, a first electrode, and a first impurity semiconductor layer is provided over a supporting substrate; a first semiconductor layer to which an impurity element imparting one conductivity type is added is formed over the first impurity semiconductor layer; a second semiconductor layer to which an impurity element imparting the one conductivity type is added is formed over the first semiconductor layer under a condition different from that of the first semiconductor layer; crystallinity of the first semiconductor layer and crystallinity of the second semiconductor layer are improved by a solid-phase growth method to form a second impurity semiconductor layer; an impurity element imparting the one conductivity type and an impurity element imparting a conductivity type different from the one conductivity type are added to the second impurity semiconductor layer; and a gate electrode layer is formed via a gate insulating layer.
    Type: Grant
    Filed: February 10, 2011
    Date of Patent: May 8, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Sho Kato, Satoshi Toriumi, Fumito Isaka, Hideto Ohnuma
  • Publication number: 20120104473
    Abstract: The present invention relates to a transistor and the method for forming the same. The transistor of the present invention comprises a semiconductor substrate; a gate dielectric layer formed on the semiconductor substrate; a gate formed on the gate dielectric layer; a channel region under the gate dielectric layer; and a source region and a drain region located in the semiconductor substrate and on respective sides of the channel region, wherein at least one of the source and drain regions comprises a set of dislocations that are adjacent to the channel region and arranged in the direction perpendicular to a top surface of the semiconductor substrate, and the set of dislocations comprises at least two dislocations.
    Type: Application
    Filed: May 20, 2011
    Publication date: May 3, 2012
    Applicant: Institute of Microelectornics, Chinese Academy of Sciences a Chinese Corporation
    Inventors: Haizhou Yin, Huilong Zhu, Zhijong Luo
  • Publication number: 20120086071
    Abstract: Semiconductor substrate with a deformed gate region and a method for the fabrication thereof. The semiconductor substrate has improved device performance compared to devices without a deformed gate region and decreased dopant loss compared to devices with deformed source/drain regions.
    Type: Application
    Filed: October 12, 2010
    Publication date: April 12, 2012
    Applicant: International Business Machines Corporation
    Inventors: Lahir Shaik Adam, Bruce B. Doris, Sanjay Mehta, Zhengmao Zhu
  • Publication number: 20120088346
    Abstract: A thin film transistor and a fabrication method thereof, in which one excimer laser annealing (ELA) makes a pixel portion and a driver portion different from each other in surface roughness and grain size. The thin film transistor includes: a substrate including a pixel portion and a driver portion; a first semiconductor layer disposed in the pixel portion and having a first surface roughness; and a second semiconductor layer disposed in the driver portion and having a second surface roughness smaller than the first surface roughness.
    Type: Application
    Filed: December 16, 2011
    Publication date: April 12, 2012
    Applicant: SAMSUNG MOBILE DISPLAY CO., LTD.
    Inventor: Hong-Ro LEE
  • Patent number: 8148262
    Abstract: A method of manufacturing a semiconductor device includes forming a gate electrode, a source region and a drain region, forming a first metal layer, forming silicide layers by first annealing, removing a remainder of the first metal layer after the first annealing, performing a second annealing, forming a second metal layer, performing a third annealing, and removing a remainder of the second metal layer.
    Type: Grant
    Filed: June 3, 2010
    Date of Patent: April 3, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Shinichi Akiyama, Kazuya Okubo, Yusuke Morisaki, Youichi Momiyama
  • Patent number: 8138074
    Abstract: A method of forming an IC includes forming a first and a second gate portion using a poly mask. The first portion includes a first active poly gate having a line width W1 over an end of a first active area framed by a first active area edge and a first adjacent active field poly feature having a line width 0.8W1 to 1.3W1 in a first field region. The first field poly feature has a horizontal portion and a first extension portion along a gate width direction extending over the first active area edge having a first minimum spacing (S1). The second gate portion includes a second active poly gate over an end of a second active area framed by a second active area edge electrically connected to a second field poly feature in a second field region having a horizontal portion and a second extension portion along a gate width direction extending over the second active area edge having a second minimum spacing (S2). A dummy field poly feature is between the second active poly gate and the second field poly feature.
    Type: Grant
    Filed: November 4, 2010
    Date of Patent: March 20, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: James Walter Blatchford
  • Patent number: 8124486
    Abstract: The invention provides a method of fabricating a semiconductor device that enhances the amount of stress that is transmitted to the channel region for carrier mobility enhancement. In one embodiment an amorphous region is formed at or near the gate dielectric interface prior to source/drain anneal. In a second embodiment the gate material is amorphous as deposited and processing temperatures are kept below the gate material crystallization temperature until stress enhancement processing has been completed. The amorphous gate material deforms during high temperature anneal and converts from an amorphous to a polycrystalline phase allowing more stress to be transmitted into the channel region. This enhances carrier mobility and improves transistor drive current.
    Type: Grant
    Filed: August 15, 2011
    Date of Patent: February 28, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Zhiqiang Wu, Xin Wang
  • Patent number: 8125033
    Abstract: A polycrystalline silicon layer, a flat panel display using the polycrystalline silicon layer, and a method of fabricating the same are provided. The polycrystalline silicon layer is formed by crystallizing a seed region of an amorphous silicon layer using a super grain silicon (SGS) crystallization technique. The crystallinity of the seed region spread into a crystallization region beyond the seed region. The crystallization region is formed into a semiconductor layer that can be incorporated to make a thin film transistor to drive flat panel displays. The semiconductor layer made by the method of the present invention provides uniform growth of grain boundaries, and characteristics of a thin film transistor made of the semiconductor layer are improved.
    Type: Grant
    Filed: December 12, 2006
    Date of Patent: February 28, 2012
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Tae-Hoon Yang, Ki-Yong Lee, Jin-Wook Seo, Byoung-Keon Park
  • Patent number: 8120074
    Abstract: A bipolar semiconductor device with a hole current redistributing structure and an n-channel IGBT are provided. The n-channel IGBT has a p-doped body region with a first hole mobility and a sub region which is completely embedded within the body region and has a second hole mobility which is lower than the first hole mobility. Further, a method for forming a bipolar semiconductor device is provided.
    Type: Grant
    Filed: October 29, 2009
    Date of Patent: February 21, 2012
    Assignee: Infineon Technologies Austria AG
    Inventors: Hans-Joachim Schulze, Francisco Javier Santos Rodriguez
  • Patent number: 8110471
    Abstract: A field-effect transistor (FET) with a round-shaped nano-wire channel and a method of manufacturing the FET are provided. According to the method, source and drain regions are formed on a semiconductor substrate. A plurality of preliminary channel regions is coupled between the source and drain regions. The preliminary channel regions are etched, and the etched preliminary channel regions are annealed to form FET channel regions, the FET channel regions having a substantially circular cross-sectional shape.
    Type: Grant
    Filed: November 23, 2009
    Date of Patent: February 7, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sungyoung Lee, Dongsuk Shin
  • Patent number: 8105925
    Abstract: An improved insulated gate field effect device (60) is obtained by providing a substrate (20) desirably comprising a III-V semiconductor, having a further semiconductor layer (22) on the substrate (20) adapted to contain the channel (230) of the device (60) between spaced apart source-drain electrodes (421, 422) formed on the semiconductor layer (22). A dielectric layer (24) is formed on the semiconductor layer (22). A sealing layer (28) is formed on the dielectric layer (24) and exposed to an oxygen plasma (36). A gate electrode (482) is formed on the dielectric layer (24) between the source-drain electrodes (421, 422). The dielectric layer (24) preferably comprises gallium-oxide (25) and/or gadolinium-gallium oxide (26, 27), and the oxygen plasma (36) is preferably an inductively coupled plasma. A further sealing layer (44) of, for example, silicon nitride is desirably provided above the sealing layer (28).
    Type: Grant
    Filed: July 30, 2008
    Date of Patent: January 31, 2012
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Jonathan K. Abrokwah, Ravindranath Droopad, Matthias Passlack
  • Publication number: 20120021585
    Abstract: An embodiment is directed to a method of manufacturing a polycrystalline silicon layer, the method including providing a crystallization substrate, the crystallization substrate having an amorphous silicon layer on a first substrate, providing a reflection substrate, the reflection substrate having a first region with a reflection panel therein and a second region without the reflection panel, disposing the crystallization substrate and the reflection substrate on one another, and selectively crystallizing the amorphous silicon layer by directing a laser beam onto the crystallization substrate and the reflection substrate, and reflecting the laser beam from the reflection panel.
    Type: Application
    Filed: July 20, 2011
    Publication date: January 26, 2012
    Inventors: Young-Jin CHANG, Jae-Hwan OH, Won-Kyu LEE, Seong-Hyun JIN, Jae-Beom CHOI
  • Patent number: 8101487
    Abstract: A method for fabricating a semiconductor device is presented. The method includes providing a substrate and forming a gate stack over the substrate. A first laser processing to form vacancy rich regions within the substrate on opposing sides of the gate stack is performed. The vacancy rich regions have a first depth from a surface of the substrate. A first implant causing end of range defect regions to be formed on opposing sides of the gate stack at a second depth from the surface of the substrate is also carried out, wherein the first depth is proximate to the second depth.
    Type: Grant
    Filed: May 15, 2009
    Date of Patent: January 24, 2012
    Assignees: Nanyang Technological University, National University of Singapore, GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Dexter Xueming Tan, Benjamin Colombeau, Clark Kuang Kian Ong, Sai Hooi Yeong, Chee Mang Ng, Kin Leong Pey
  • Patent number: 8097499
    Abstract: A semiconductor device and method thereof. The example method may include forming a semiconductor device, including forming a first layer on a substrate, the first layer including aluminum nitride (AlN), forming a second layer by oxidizing a surface of the first layer and forming a third layer on the second layer, the first, second and third layers each being highly oriented with respect to one of a plurality crystallographic planes. The example semiconductor device may include a substrate including a first layer, the first layer including aluminum nitride (AlN), a second layer formed by oxidizing a surface of the first layer and a third layer formed on the second layer, the first, second and third layers each being highly oriented with respect to one of a plurality crystallographic planes.
    Type: Grant
    Filed: February 6, 2007
    Date of Patent: January 17, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Wenxu Xianyu, Young-soo Park, Jun-ho Lee, Hyuk Lim, Hans S. Cho, Huaxiang Yin
  • Patent number: 8088678
    Abstract: A first aspect of the present invention provides a semiconductor manufacturing apparatus including: a load lock chamber; a transfer chamber; and a treatment chamber 1 and a treatment chamber 2 which carry out treatment using plasma, wherein, in the treatment chamber 2, an exhaust means is provided with a control means for making an oxygen partial pressure into 1*10?5 [Pa] or less.
    Type: Grant
    Filed: December 4, 2009
    Date of Patent: January 3, 2012
    Assignee: Canon Anelva Corporation
    Inventors: Naomu Kitano, Takashi Minami, Motomu Kosuda, Heiji Watanabe
  • Patent number: 8084331
    Abstract: In a method of treating a semiconductor element which at least includes a semiconductor, a threshold voltage of the semiconductor element is changed by irradiating the semiconductor with light with a wavelength longer than an absorption edge wavelength of the semiconductor. The areal density of in-gap states in the semiconductor is 1013 cm?2eV?1 or less. The band gap may be 2 eV or greater. The semiconductor may include at least one selected from the group consisting of In, Ga, Zn and Sn. The semiconductor may be one selected from the group consisting of amorphous In—Ga—Zn—O (IGZO), amorphous In—Zn—O (IZO) and amorphous Zn—Sn—O (ZTO). The light irradiation may induce the threshold voltage shift in the semiconductor element, the shift being of the opposite sign to the threshold voltage shift caused by manufacturing process history, time-dependent change, electrical stress or thermal stress.
    Type: Grant
    Filed: March 2, 2009
    Date of Patent: December 27, 2011
    Assignee: Canon Kabushiki Kaisha
    Inventors: Masato Ofuji, Katsumi Abe, Hisae Shimizu, Ryo Hayashi, Masafumi Sano, Hideya Kumomi, Yasuyoshi Takai, Takehiko Kawasaki, Norio Kaneko
  • Patent number: 8071451
    Abstract: A method of doping a semiconductor body is provided herein. In one embodiment, a semiconductor body is exposed to an activated hydrogen gas for a predetermined time period and temperature. The activated hydrogen gas that is configured to react with a surface of a semiconductor body. The activated hydrogen gas breaks existing bonds in the substrate (e.g., silicon-silicon bonds), thereby forming a reactive layer comprising weakened (e.g., silicon-hydrogen (Si—H) bonds, silanol (Si—OH) bonds) and/or dangling bonds (e.g., dangling silicon bonds). The dangling bonds, in addition to the easily broken weakened bonds, comprise reactive sites that extend into one or more surfaces of the semiconductor body. A reactant (e.g., n-type dopant, p-type dopant) may then be introduced to contact the reactive layer of the semiconductor body. The reactant chemically bonds to reactive sites comprised within the reactive layer, thereby resulting in a doped layer within the semiconductor body comprising the reactant.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: December 6, 2011
    Assignee: Axcelis Technologies, Inc.
    Inventor: Ivan L. Berry
  • Patent number: 8062973
    Abstract: A semiconductor device manufacturing method has conducting first heating processing at a first heating temperature in an inert atmosphere under a first pressure in a first process chamber to silicide an upper part of the source-drain diffusion layer and form a silicide film; conducting second heating processing at a second heating temperature in an oxidizing atmosphere under a second pressure in a second process chamber to selectively oxidize at least a surface of the metal film on the element isolating insulation film and form a metal oxide film; conducting third heating processing at a third heating temperature which is higher than the first heating temperature and the second heating temperature in an atmosphere in a third process chamber to increase a concentration of silicon in the silicide film; and selectively removing the metal oxide film and an unreacted part of the metal film on the element isolating insulation film.
    Type: Grant
    Filed: January 25, 2010
    Date of Patent: November 22, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takaharu Itani, Koji Matsuo, Kazuhiko Nakamura
  • Publication number: 20110269288
    Abstract: The invention included to methods of forming CoSi2, methods of forming field effect transistors, and methods of forming conductive contacts. In one implementation, a method of forming CoSi2 includes forming a substantially amorphous layer comprising MSix over a silicon-containing substrate, where “M” comprises at least some metal other than cobalt. A layer comprising cobalt is deposited over the substantially amorphous MSix-comprising layer. The substrate is annealed effective to diffuse cobalt of the cobalt-comprising layer through the substantially amorphous MSix-comprising layer and combine with silicon of the silicon-containing substrate to form CoSi2 beneath the substantially amorphous MSix-comprising layer. Other aspects and implementations are contemplated.
    Type: Application
    Filed: July 13, 2011
    Publication date: November 3, 2011
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Yongjun Jeff Hu
  • Patent number: 8048750
    Abstract: The invention provides a method of fabricating a semiconductor device that enhances the amount of stress that is transmitted to the channel region for carrier mobility enhancement. In one embodiment an amorphous region is formed at or near the gate dielectric interface prior to source/drain anneal. In a second embodiment the gate material is amorphous as deposited and processing temperatures are kept below the gate material crystallization temperature until stress enhancement processing has been completed. The amorphous gate material deforms during high temperature anneal and converts from an amorphous to a polycrystalline phase allowing more stress to be transmitted into the channel region. This enhances carrier mobility and improves transistor drive current.
    Type: Grant
    Filed: January 22, 2009
    Date of Patent: November 1, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Zhiqiang Wu, Xin Wang
  • Patent number: 8043940
    Abstract: An improved yield of chips is realized by reducing the width of dicing streets on the front surface side of a semiconductor wafer. A method for semiconductor chip, divided a semiconductor wafer 10 having a plurality of circuit patterns formed on one surface 18 into pieces, comprising, forming a groove in a boundary region between the circuit patterns from the other surface 19 of the semiconductor wafer 10 by using a blade, forming a modified layer 14 in the boundary region between the circuit patterns by irradiation with laser light L from the one surface 18 or the other surface 19 of the semiconductor wafer 10, and dividing the semiconductor wafer into pieces by breaking the modified layer 14. The modified layer 14 is formed between a bottom surface 17 of a groove portion 16 and the one surface 18 of the semiconductor wafer 10, and a forming width WM of the modified layer 14 is smaller than the width of the groove portion 16.
    Type: Grant
    Filed: May 29, 2009
    Date of Patent: October 25, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Yoshitsugu Kawashima
  • Patent number: 8039092
    Abstract: A main object of the present invention is to provide a pattern formed body capable of forming highly precise functional parts on various base materials, and a method for manufacturing the same. To achieve the object, the present invention provides a method for manufacturing a pattern formed body, having a plasma radiating step of radiating plasma to a patterning substrate having: a base material; an intermediate layer formed on the base material and containing a silane coupling agent or a polymer of the silane coupling agent; and a resin layer formed in a pattern form on the intermediate layer, wherein a fluorine gas is used as an introduction gas to radiate the plasma from the resin layer side.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: October 18, 2011
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventor: Hironori Kobayashi
  • Patent number: 8030189
    Abstract: A method for maintaining a smooth surface of crystallizable material is disclosed. First, a substrate is provided. A target material layer is then formed on the substrate, with the target material being a crystallizable material. A protecting layer is subsequently formed on the target material layer. Next, an annealing treatment is implemented, with the surface of the target material layer, facing the protecting layer, being maintained in its original smooth state by the pressure and/or adhesion of the protecting layer. Finally, the protecting layer is removed to leave an open and smooth surface of the processed crystallizable material.
    Type: Grant
    Filed: October 28, 2009
    Date of Patent: October 4, 2011
    Assignee: National Taiwan University
    Inventors: Ching-Fuh Lin, Cha-Hsin Chao, Wen-Han Lin
  • Publication number: 20110237042
    Abstract: Some embodiments include methods in which microwave radiation is used to activate dopant and/or increase crystallinity of semiconductor material during formation of a semiconductor construction. In some embodiments, the microwave radiation has a frequency of about 5.8 gigahertz, and a temperature of the semiconductor construction does not exceed about 500° C. during the exposure to the microwave radiation.
    Type: Application
    Filed: June 7, 2011
    Publication date: September 29, 2011
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: John Smythe, Bhaskar Srinivasan, Ming Zhang
  • Patent number: 8021898
    Abstract: A materials processing system comprises a thermal processing chamber including a heating source, a first noncontacting thermal measurement device positioned to measure temperature on a first area of the material being processed, and, a second noncontacting thermal measurement device positioned to measure temperature on a second area of the material being processed, the first device being relatively more sensitive to changes in surface emissivity than the second device. By comparing the outputs of the two devices, emissivity changes can be detected and used as a proxy for some physical change in the workpiece and thereby determine when the desired process has been completed. The system may be used to develop a process recipe, or it may be part of a system for real-time process control based on emissivity changes. Applicable processes include heating, annealing, dopant activation, silicide formation, carburization, nitridation, sintering, oxidation, vapor deposition, metallization, and plating.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: September 20, 2011
    Assignee: Lambda Technologies, Inc.
    Inventors: Iftikhar Ahmad, Keith R. Hicks
  • Patent number: 8021950
    Abstract: Disclosed are embodiments of a semiconductor wafer processing method that allow device regions to be selectively annealed following back end of the line (BEOL) metal wiring formation without degrading wiring layer reliability. In the embodiments, a semiconductor device is formed adjacent to the top surface of a wafer such that it incorporates a selectively placed infrared absorbing layer (IAL). Then, following BEOL metal wiring formation, the bottom surface of the wafer is exposed to an infrared light having a wavelength that is transparent to the wafer. The infrared light is absorbed by and, thereby heats up the IAL to a first predetermined temperature (e.g., a dopant activation temperature, a temperature required for a state change, etc.). The resulting heat is transferred from the IAL to an adjacent region of the semiconductor device without raising the temperature of the metal wiring above a second predetermined temperature (e.g.
    Type: Grant
    Filed: October 26, 2010
    Date of Patent: September 20, 2011
    Assignee: International Business Machines Corporation
    Inventors: Wagdi W. Abadeer, Lilian Kamal, legal representative, John J. Ellis-Monaghan, Jeffrey P. Gambino, Tom C. Lee
  • Publication number: 20110223737
    Abstract: Some example embodiments of the invention comprise methods for and semiconductor structures comprised of: a MOS transistor comprised of source/drain regions, a gate dielectric, a gate electrode, channel region; a carbon doped SiGe region that applies a stress on the channel region whereby the carbon doped SiGe region retains stress/strain on the channel region after subsequent heat processing.
    Type: Application
    Filed: May 23, 2011
    Publication date: September 15, 2011
    Applicants: GLOBALFOUNDRIES SINGAPORE PTE. LTD., INTERNATIONAL BUSINESS MACHINES CORPORATION (IBM)
    Inventors: Jin Ping LIU, Judson Robert HOLT
  • Patent number: 8017528
    Abstract: A thermal cycle includes: increasing a temperature from an initial temperature to a temperature T1 at an arbitrary rate R1 (° C./sec); holding the temperature at the temperature T1 for an arbitrary period t1 (sec); increasing the temperature from the temperature T1 to a temperature T2 at a rate R2 (° C./sec) of 1.0×107 (° C./sec) or less; and holding the temperature at the temperature T2 for a period t2 (sec) of 50 msec or less. The thermal cycle thereafter includes: decreasing the temperature from the temperature T2 to the temperature T1 at a rate R1? (° C./sec) of 1.0×107 (° C./sec) or less; holding the temperature T1 for an arbitrary period t3 (sec); and decreasing the temperature from the temperature T1 to a final temperature at an arbitrary rate R2? (° C./sec). Such a thermal cycle is successively repeated in a plurality of iterations.
    Type: Grant
    Filed: January 13, 2009
    Date of Patent: September 13, 2011
    Assignee: Panasonic Corporation
    Inventors: Kenji Yoneda, Kazuma Takahashi
  • Patent number: 8012841
    Abstract: The energy distribution in the short-side direction of a rectangular laser beam applied to an amorphous semiconductor film (amorphous silicon film) is uniformized. It is possible to the energy distribution in the short-side direction of the rectangular laser beam by the use of a cylindrical lens array 26 or a light guide 36 and concentrating optical systems 28 and 44 or by the use of an optical system including a diffracting optical element. Accordingly, since the effective energy range of a laser beam applied to the amorphous semiconductor film is widened and the transport speed of a substrate 3 can be enhanced as much, it is possible to improve the processing ability of the laser annealing.
    Type: Grant
    Filed: November 7, 2006
    Date of Patent: September 6, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Kenichiro Nishida, Ryusuke Kawakami, Norihito Kawaguchi, Miyuki Masaki
  • Patent number: 8008693
    Abstract: A thin film semiconductor transistor structure has a substrate with a dielectric surface, and an active layer made of a semiconductor thin film exhibiting a crystallinity as equivalent to the single-crystalline. To fabricate the transistor, the semiconductor thin film is formed on the substrate, which film includes a mixture of a plurality of crystals which may be columnar crystals and/or capillary crystal substantially parallel to the substrate. The resultant structure is then subject to thermal oxidation in a chosen atmosphere containing halogen, thereby removing away any metallic element as contained in the film. This may enable formation of a mono-domain region in which the individual columnar or capillary crystal is in contact with any adjacent crystals and which is capable of being substantially deemed to be a single-crystalline region without presence or inclusion of any crystal grain boundaries therein. This region is for use in forming the active layer of the transistor.
    Type: Grant
    Filed: February 2, 2007
    Date of Patent: August 30, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Jun Koyama, Akiharu Miyanaga, Takeshi Fukunaga
  • Patent number: 8008176
    Abstract: An improved method of producing solar cells utilizes a mask which is fixed relative to an ion beam in an ion implanter. The ion beam is directed through a plurality of apertures in the mask toward a substrate. The substrate is moved at different speeds such that the substrate is exposed to an ion dose rate when the substrate is moved at a first scan rate and to a second ion dose rate when the substrate is moved at a second scan rate. By modifying the scan rate, various dose rates may be implanted on the substrate at corresponding substrate locations. This allows ion implantation to be used to provide precise doping profiles advantageous for manufacturing solar cells.
    Type: Grant
    Filed: August 10, 2010
    Date of Patent: August 30, 2011
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Nicholas P. T. Bateman, Steven M. Anella, Benjamin B. Riordon, Atul Gupta