Radiation Or Energy Treatment Modifying Properties Of Semiconductor Regions Of Substrate (e.g., Thermal, Corpuscular, Electromagnetic, Etc.) Patents (Class 438/308)
  • Patent number: 7993997
    Abstract: The present invention provides a method of inducing stress in a semiconductor device substrate by applying an ion implantation to a gate region before a source/drain annealing process. The source/drain region may then be annealed along with the gate which will cause the gate to expand in certain areas due to said ion implantation. As a result, stress caused by said expansion of the gate is transferred to the channel region in the semiconductor substrate.
    Type: Grant
    Filed: October 1, 2007
    Date of Patent: August 9, 2011
    Assignee: Globalfoundries Singapore Pte. Ltd.
    Inventors: Vincent Ho, Wenhe Lin, Young Way Teh, Yong Kong Siew, Bei Chao Zhang, Fan Zhang, Haifeng Sheng, Juan Boon Tan
  • Patent number: 7989366
    Abstract: Methods are disclosed for activating dopants in a doped semiconductor substrate. A carbon precursor is flowed into a substrate processing chamber within which the doped semiconductor substrate is disposed. A plasma is formed from the carbon precursor in the substrate processing chamber. A carbon film is deposited over the substrate with the plasma. A temperature of the substrate is maintained while depositing the carbon film less than 500° C. The deposited carbon film is exposed to electromagnetic radiation for a period less than 10 ms, and has an extinction coefficient greater than 0.3 at a wavelength comprised by the electromagnetic radiation.
    Type: Grant
    Filed: August 24, 2007
    Date of Patent: August 2, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Jeffrey C. Munro, Srinivas D. Nemani, Young S. Lee, Marlon Menezes, Christopher Dennis Bencher, Vijay Parihar
  • Patent number: 7985655
    Abstract: In one embodiment, a method of forming a via includes providing a semiconductor substrate, wherein the semiconductor substrate comprises a through-via region, forming isolation openings and a sacrificial feature in the through-via region, filling the isolation openings to form isolation regions, forming a dielectric layer over the semiconductor substrate after filling the isolation openings, forming a first portion of a through-via opening in the dielectric layer, forming a second portion of the through-via opening in the semiconductor substrate, wherein forming the second portion of the through-via opening comprises removing the sacrificial feature, and forming a conductive material in the first portion and the second portion of the through-via opening.
    Type: Grant
    Filed: November 25, 2008
    Date of Patent: July 26, 2011
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Bradley P. Smith
  • Patent number: 7981752
    Abstract: The present invention relates to a method of forming junctions of a semiconductor device. According to the method of forming junctions of a semiconductor device in accordance with an aspect of the present invention, there is provided a semiconductor substrate in which a transistor including the junctions are formed. A first thermal treatment process for forming a passivation layer over the semiconductor substrate including the junctions is performed. Here, the passivation layer functions to prevent impurities within the junctions from being drained. A pre-metal dielectric layer is formed over the semiconductor substrate including the passivation layer.
    Type: Grant
    Filed: October 24, 2008
    Date of Patent: July 19, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Dong Ho Lee
  • Publication number: 20110163357
    Abstract: A method for fabricating a semiconductor device is presented. The method comprises providing a gate stack including a gate dielectric and gate electrode over a substrate. Stressor regions comprising stressor material incorporated into substitutional sites of the substrate are formed within the substrate on opposed sides of the gate stack. A first stressor layer having a first stress value is formed over the semiconductor device after forming the stressor regions followed by an anneal to memorize at least a portion of the first stress value in the semiconductor device, wherein the anneal is conducted at a low temperature.
    Type: Application
    Filed: May 10, 2010
    Publication date: July 7, 2011
    Applicant: GLOBALFOUNDRIES SINGAPORE PTE. LTD.
    Inventors: Shyue Seng TAN, Lee Wee TEO
  • Patent number: 7951656
    Abstract: A stack including at least an insulating layer, a first electrode, and a first impurity semiconductor layer is provided over a supporting substrate; a first semiconductor layer to which an impurity element imparting one conductivity type is added is formed over the first impurity semiconductor layer; a second semiconductor layer to which an impurity element imparting the one conductivity type is added is formed over the first semiconductor layer under a condition different from that of the first semiconductor layer; crystallinity of the first semiconductor layer and crystallinity of the second semiconductor layer are improved by a solid-phase growth method to form a second impurity semiconductor layer; an impurity element imparting the one conductivity type and an impurity element imparting a conductivity type different from the one conductivity type are added to the second impurity semiconductor layer; and a gate electrode layer is formed via a gate insulating layer.
    Type: Grant
    Filed: May 18, 2009
    Date of Patent: May 31, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Sho Kato, Satoshi Toriumi, Fumito Isaka, Hideto Ohnuma
  • Publication number: 20110121398
    Abstract: During the fabrication of advanced transistors, significant dopant diffusion may be suppressed by performing a millisecond anneal process after completing the basic transistor configuration, wherein a stress memorization technique may also be obtained by forming a strain-inducing area within a sidewall spacer structure. Due to the corresponding void formation in the spacer structure, a high tensile strain component may be obtained in the adjacent channel region.
    Type: Application
    Filed: November 23, 2009
    Publication date: May 26, 2011
    Inventors: Jan Hoentschel, Thomas Feudel, Ralf Illgen
  • Patent number: 7943534
    Abstract: A semiconductor device manufacturing method and a semiconductor device manufacturing system for irradiating a first laser light (50) and a second laser light (52) with a wavelength different from that of the first laser light to a substrate (46) to perform a thermal processing on the substrate are provided. In the step for performing the thermal processing, at least one of an irradiation intensity and an irradiation time of a first laser and a second laser is controlled to control a temperature distribution in the substrate or a film on the substrate in a depth direction.
    Type: Grant
    Filed: July 24, 2006
    Date of Patent: May 17, 2011
    Assignee: Phoeton Corp.
    Inventors: Akira Matsuno, Takashi Nire
  • Patent number: 7923339
    Abstract: The invention relates to the manufacture of an epitaxial layer, with the following steps: providing a semiconductor substrate; providing a Si—Ge layer on the semiconductor substrate, having a first depth; —providing the semiconductor substrate with a doped layer with an n-type dopant material and having a second depth substantially greater than said first depth; performing an oxidation step to form a silicon dioxide layer such that Ge atoms and n-type atoms are pushed into the semiconductor substrate by the silicon dioxide layer at the silicon dioxide/silicon interface, wherein the n-type atoms are pushed deeper into the semiconductor substrate than the Ge atoms, resulting in a top layer with a reduced concentration of n-type atoms; removing the silicon dioxide layer; growing an epitaxial layer of silicon on the semiconductor substrate with a reduced outdiffusion or autodoping.
    Type: Grant
    Filed: November 29, 2005
    Date of Patent: April 12, 2011
    Assignee: NXP B.V.
    Inventors: Philippe Meunier-Beillard, Hendrik G. A. Huizing
  • Patent number: 7923660
    Abstract: Disclosed is the method and apparatus for annealing semiconductor substrates. One embodiment provides a semiconductor processing chamber comprising a first substrate support configured to support a substrate, a second substrate support configured to support a substrate, a shuttle coupled to the first substrate support and configured to move the first substrate support between a processing zone and a first loading zone, wherein the processing zone having a processing volume configured to alternately accommodating the first substrate support and the second substrate support.
    Type: Grant
    Filed: August 15, 2007
    Date of Patent: April 12, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Alexander N. Lerner, Timothy N. Thomas, Sundar Ramamurthy
  • Patent number: 7923308
    Abstract: The invention comprises methods of forming a conductive contact to a source/drain region of a field effect transistor, and methods of forming local interconnects. In one implementation, a method of forming a conductive contact to a source/drain region of a field effect transistor includes providing gate dielectric material intermediate a transistor gate and a channel region of a field effect transistor. At least some of the gate dielectric material extends to be received over at least one source/drain region of the field effect transistor. The gate dielectric material received over the one source/drain region is exposed to conditions effective to change it from being electrically insulative to being electrically conductive and in conductive contact with the one source/drain region. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: April 12, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Gurtej S. Sandhu, H. Montgomery Manning
  • Patent number: 7919371
    Abstract: A method for fabricating a non-volatile memory device with a charge trapping layer wherein a tunneling layer, a charge trapping layer, a blocking layer, and a control gate electrode are formed on a semiconductor substrate. A temperature of the control gate electrode is increased by applying a magnetic field to the control gate electrode. The blocking layer is densified by allowing the increased temperature to be transferred to the blocking layer contacting the control gate electrode.
    Type: Grant
    Filed: June 16, 2008
    Date of Patent: April 5, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Ki Hong Lee, Seung Ho Pyi, Ki Seon Park
  • Publication number: 20110073946
    Abstract: An LDMOS transistor (100) on a substrate (70a, 70b) of a first conductivity type, comprises a source region (10) with a source portion (73) and a drain region (12). The source portion and drain region are of a second conductivity type opposite to the first conductivity type and are mutually connected through a channel region (28) in the substrate over which a gate electrode (14) extends. The drain region comprises a drain contact region (16) and a drain extension region (15) which extends from the channel region (28) towards the drain contact region. The drain contact region is electrically connected to a top metal layer (22) by a drain contact (20), and a poly-Si drain contact layer (80) is arranged as a first contact material in between the drain contact region and the drain contact in a contact opening (51) of a first dielectric layer (52) deposited on the surface of the drain region.
    Type: Application
    Filed: May 19, 2009
    Publication date: March 31, 2011
    Applicant: NXP B.V.
    Inventors: Stephan J. C. H. Theeuwen, Henk J. Peuscher, Rene Van Den Heuvel, Paul Bron
  • Publication number: 20110076823
    Abstract: A method for making a semiconductor MOS device is provided. A gate structure is formed on a substrate. A source and a drain are formed in the substrate on both sides of the gate structure. The substrate is then subjected to a pre-amorphization implant (PAI) process. A transitional stress layer is then formed on the substrate. Thereafter, a laser anneal with a first temperature is performed. After the laser anneal, a rapid thermal process is performed with a second temperature that is lower than the first temperature. Subsequently, the transitional stress layer is removed.
    Type: Application
    Filed: September 28, 2009
    Publication date: March 31, 2011
    Inventors: Huang-Yi Lin, Jiun-Hung Shen, Chi-Horn Pai, Yi-Chung Sheng, Shih-Chieh Hsu
  • Patent number: 7906401
    Abstract: A method of tuning threshold voltages of interdiffusible structures. The method includes a step of situating an interdiffusible structure in a path of a laser and a step of illuminating the interdiffusible structure with laser energy until a desired threshold voltage is obtained.
    Type: Grant
    Filed: November 1, 2006
    Date of Patent: March 15, 2011
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Ryan P. Lu, Ayax D. Ramirez, Bruce W. Offord, Stephen D. Russell
  • Patent number: 7906441
    Abstract: Oxide growth of a gate dielectric layer that occurs between processes used in the fabrication of a gate dielectric structure can be reduced. The reduction in oxide growth can be achieved by maintaining the gate dielectric layer in an ambient effective to mitigate oxide growth of the gate dielectric layer between at least two sequential process steps used in the fabrication the gate dielectric structure. Maintaining the gate dielectric layer in an ambient effective to mitigate oxide growth also improves the uniformity of nitrogen implanted in the gate dielectric.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: March 15, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Malcolm J. Bevan, Haowen Bu, Hiroaki Niimi, Husam N. Alshareef
  • Patent number: 7906384
    Abstract: A semiconductor device having a tensile and/or compressive strain applied thereto and methods of manufacturing the semiconductor devices to enhance channel strain. The method includes relaxing a gate structure using a low temperature thermal creep process to enhance channel strain. The gate structure undergoes a plastic deformation during the low temperature thermal creep process.
    Type: Grant
    Filed: March 13, 2008
    Date of Patent: March 15, 2011
    Assignee: International Business Machines Corporation
    Inventor: Thomas W Dyer
  • Patent number: 7906402
    Abstract: Methods for compensating for a thermal profile in a substrate heating process are provided herein. In some embodiments, a method of processing a substrate includes determining an initial thermal profile of a substrate that would result from subjecting the substrate to a process; determining a compensatory thermal profile based upon the initial thermal profile and a desired thermal profile; imposing the compensatory thermal profile on the substrate prior to performing the process on the substrate; and performing the process to create the desired thermal profile on the substrate. The initial substrate thermal profile can also be compensated for by adjusting a local mass heated per unit area, a local heat capacity per unit area, or an absorptivity or reflectivity of a component proximate the substrate prior to performing the process. Heat provided by an edge ring to the substrate may be controlled prior to or during the substrate heating process.
    Type: Grant
    Filed: October 4, 2009
    Date of Patent: March 15, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Joseph M. Ranish, Bruce E. Adams
  • Patent number: 7902008
    Abstract: A method for fabricating a stressed MOS device in and on a semiconductor substrate is provided. The method comprises the steps of forming a gate electrode overlying the semiconductor substrate and etching a first trench and a second trench in the semiconductor substrate, the first trench and the second trench formed in alignment with the gate electrode. A stress inducing material is selectively grown in the first trench and in the second trench and conductivity determining impurity ions are implanted into the stress inducing material to form a source region in the first trench and a drain region in the second trench. To preserve the stress induced in the substrate, a layer of mechanically hard material is deposited on the stress inducing material after the step of ion implanting.
    Type: Grant
    Filed: August 3, 2005
    Date of Patent: March 8, 2011
    Assignee: GlobalFoundries Inc.
    Inventors: Igor Peidous, Mario M. Pelella
  • Patent number: 7897216
    Abstract: A method for manufacturing an organic device includes disposing a solution containing a conductive organic material in a first region on a substrate, drying the solution to form a conductive organic film in the first region, and irradiating the conductive organic film formed in a second region other than the first region with light to decrease the conductivity of the conductive organic film.
    Type: Grant
    Filed: February 9, 2008
    Date of Patent: March 1, 2011
    Assignee: Seiko Epson Corporation
    Inventor: Kiyoshi Nakamura
  • Patent number: 7888207
    Abstract: Enhancement mode, field effect transistors wherein at least a portion of the transistor structure may be substantially transparent. One variant of the transistor includes a channel layer comprising a substantially insulating, substantially transparent, material selected from ZnO or SnO2. A gate insulator layer comprising a substantially transparent material is located adjacent to the channel layer so as to define a channel layer/gate insulator layer interface. A second variant of the transistor includes a channel layer comprising a substantially transparent material selected from substantially insulating ZnO or SnO2, the substantially insulating ZnO or SnO2 being produced by annealing. Devices that include the transistors and methods for making the transistors are also disclosed.
    Type: Grant
    Filed: February 5, 2007
    Date of Patent: February 15, 2011
    Assignee: State of Oregon Acting by and through the Oregon State Board of Higher Eduacation on behalf of Oregon State University
    Inventors: John F. Wager, III, Randy L. Hoffman
  • Publication number: 20110020997
    Abstract: An integrated circuit and method of making it, includes a semiconductor substrate and a support layer disposed on the semiconductor substrate. A gate insulator including a support layer doped using a noise-reducing dopant can be disposed on the semiconductor substrate. A gate stack can be disposed on the gate insulator.
    Type: Application
    Filed: September 30, 2010
    Publication date: January 27, 2011
    Applicant: Infineon Technologies AG
    Inventor: Domagoj Siprak
  • Patent number: 7872246
    Abstract: When the second harmonic of a YAG laser is irradiated onto semiconductor films, concentric-circle patterns are observed on some of the semiconductor films. This phenomenon is due to the non-uniformity of the properties of the semiconductor films. If such semiconductor films are used to fabricate TFTs, the electrical characteristics of the TFTs will be adversely influenced. A concentric-circle pattern is formed by the interference between a reflected beam 1 reflected at a surface of a semiconductor film and a reflected beam 2 reflected at the back surface of a substrate. If the reflected beam 1 and the reflected beam 2 do not overlap each other, such interference does not occur. For this reason, a laser beam is obliquely irradiated onto the semiconductor film to solve the interference. The properties of a crystalline silicon film formed by this method are uniform, and TFTs which are fabricated by using such crystalline silicon film have good electrical characteristics.
    Type: Grant
    Filed: July 25, 2008
    Date of Patent: January 18, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Koichiro Tanaka
  • Patent number: 7867868
    Abstract: The present invention generally provides an absorber layer using carbon based materials with increased and stabled thermal absorption coefficient and economical methods to produce such an absorber layer. One embodiment of the present invention provides a method for processing a substrate comprising depositing an absorber layer on a top surface of the substrate, wherein the substrate is maintained under a first temperature, annealing the substrate in a thermal processing chamber, wherein the substrate is heated to a second temperature, and the second temperature is higher than the first temperature, and removing the absorber layer from the substrate.
    Type: Grant
    Filed: March 2, 2007
    Date of Patent: January 11, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Joseph M. Ranish, Bruce E. Adams
  • Patent number: 7867867
    Abstract: Methods of manufacturing a semiconductor device include forming an NMOS transistor on a semiconductor substrate, forming a first interlayer dielectric layer on the NMOS transistor, and dehydrogenating the first interlayer dielectric layer. Dehydrogenating the first interlayer dielectric layer may change a stress of the first interlayer dielectric layer. In particular, the first interlayer dielectric layer may have a tensile stress of 200 MPa or more after dehydrogenization. Semiconductor devices including dehydrogenated interlayer dielectric layers are also provided.
    Type: Grant
    Filed: November 7, 2006
    Date of Patent: January 11, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-kuk Jeong, Andrew-tae Kim, Dong-suk Shin
  • Patent number: 7863162
    Abstract: A manufacturing method of a semiconductor device in which the oxygen and carbon concentrations are reduced at the interface of each layer making up the semiconductor multilayer film. A first semiconductor layer is formed on a single-crystal substrate in a first reactor; the substrate is transferred from the first reactor to a second reactor through a transfer chamber; and a second semiconductor layer is formed on the first semiconductor layer in the second reactor. During substrate transfer, hydrogen is supplied when the number of hydrogen atoms bonding with the surface atoms of the first semiconductor layer is less than the number of surface atoms of the first semiconductor layer, and the supply of hydrogen is stopped when the number of hydrogen atoms bonding with the surface atoms of the first semiconductor layer is greater than the number of surface atoms of the first semiconductor layer.
    Type: Grant
    Filed: January 11, 2006
    Date of Patent: January 4, 2011
    Assignee: Hitachi, Ltd.
    Inventors: Isao Suzumura, Katsuya Oda
  • Publication number: 20100330764
    Abstract: A method of manufacturing a semiconductor device includes forming a gate electrode, a source region and a drain region, forming a first metal layer, forming silicide layers by first annealing, removing a remainder of the first metal layer after the first annealing, performing a second annealing, forming a second metal layer, performing a third annealing, and removing a remainder of the second metal layer.
    Type: Application
    Filed: June 3, 2010
    Publication date: December 30, 2010
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Shinichi Akiyama, Kazuya Okubo, Yusuke Morisaki, Youichi Momiyama
  • Patent number: 7846803
    Abstract: A method of forming a doped region includes, in one embodiment, implanting a dopant into a region in a semiconductor substrate, recrystallizing the region by performing a first millisecond anneal, wherein the first millisecond anneal has a first temperature and a first dwell time, and activating the region using as second millisecond anneal after recrystallizing the region, wherein the second millisecond anneal has a second temperature and a second dwell time. In one embodiment, the first millisecond anneal and the second millisecond anneal use a laser. In one embodiment, the first temperature is the same as the second temperature and the first dwell time is the same as the second dwell time. In another embodiment, the first temperature is different from the second temperature and the first dwell time is different from the second dwell time.
    Type: Grant
    Filed: May 31, 2007
    Date of Patent: December 7, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Gregory S. Spencer, Vishal P. Trivedi
  • Patent number: 7846804
    Abstract: A method and an apparatus for fabricating a high tensile stress film includes providing a substrate, forming a poly stressor on the substrate, and performing an ultra violet rapid thermal process (UVRTP) for curing the poly stressor and adjusting its tensile stress status, thus the poly stressor serves as a high tensile stress film. Due to a combination of energy from photons and heat, the tensile stress status of the high tensile stress film is adjusted in a relatively shorter process period or under a relatively lower temperature.
    Type: Grant
    Filed: June 5, 2007
    Date of Patent: December 7, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Hsiu-Lien Liao, Neng-Kuo Chen, Teng-Chun Tsai, Yi-Wei Chen
  • Patent number: 7829422
    Abstract: A device layer is configured to reduce change in stress characteristics due to subsequent processing to reduce cracking of a subsequently formed layer. The change in stress characteristics can be reduced by providing a shield layer over the device layer to protect the device layer from exposure to subsequently processing, such as curing medium used to form voids in an ultralow-k dielectric layer.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: November 9, 2010
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Johnny Widodo, Huang Liu, Sin Leng Lim
  • Patent number: 7824995
    Abstract: A SiC semiconductor device includes: a SiC substrate having a main surface; a channel region on the substrate; first and second impurity regions on upstream and downstream sides of the channel region, respectively; a gate on the channel region through a gate insulating film. The channel region for flowing current between the first and second impurity regions is controlled by a voltage applied to the gate. An interface between the channel region and the gate insulating film has a hydrogen concentration equal to or greater than 2.6×1020 cm?3. The interface provides a channel surface perpendicular to a (0001)-orientation plane.
    Type: Grant
    Filed: February 26, 2008
    Date of Patent: November 2, 2010
    Assignee: Denso Corporation
    Inventors: Takeshi Endo, Tsuyoshi Yamamoto, Eiichi Okuno
  • Patent number: 7816220
    Abstract: In one aspect, the present invention provides a method of processing a substrate, e.g., a semiconductor substrate, by irradiating a surface of the substrate (or at least a portion of the surface) with a first set of polarized short laser pulses while exposing the surface to a fluid to generate a plurality of structures on the surface, e.g., within a top layer of the surface. Subsequently, the structured surface can be irradiated with another set of polarized short laser pulses having a different polarization than that of the initial set while exposing the structured surface to a fluid, e.g., the same fluid initially utilized to form the structured surface or a different fluid. In many embodiments, the second set of polarized laser pulses cause the surface structures formed by the first set to break up into smaller-sized structures, e.g., nano-sized features such as nano-sized rods.
    Type: Grant
    Filed: February 27, 2008
    Date of Patent: October 19, 2010
    Assignee: President & Fellows of Harvard College
    Inventors: Eric Mazur, Mengyan Shen
  • Patent number: 7807585
    Abstract: A dielectric insulating film including HfO or the like is formed by: cleaning a surface of a semiconductor substrate by exposing the substrate surface to a fluorine radical; performing hydrogen termination processing with a fluorine radical or a hydride (SiH4 or the like); sputtering Hf or the like; and then performing oxidation/nitridation. These steps are carried out without exposing the substrate to atmosphere, thereby making it possible to obtain a C-V curve with less hysteresis and realize a MOS-FET having favorable device characteristics.
    Type: Grant
    Filed: November 2, 2009
    Date of Patent: October 5, 2010
    Assignee: Canon Anelva Corporation
    Inventors: Takuya Seino, Manabu Ikemoto, Hiroki Date
  • Patent number: 7781294
    Abstract: A method for producing an integrated circuit including a semiconductor is disclosed. In one embodiment, crystal defects are produced by irradiation in the material of the underlying semiconductor substrate which crystal defects form an inhomogeneous crystal defect density distribution in the vertical direction of the semiconductor component and lead to a corresponding inhomogeneous distribution of the carrier lifetime.
    Type: Grant
    Filed: July 31, 2007
    Date of Patent: August 24, 2010
    Assignee: Infineon Technologies Austria AG
    Inventors: Anton Mauder, Hans-Joachim Schulze
  • Patent number: 7772077
    Abstract: A method of forming a semiconductor structure comprises providing a semiconductor substrate comprising a first transistor element and a second transistor element. The first transistor element comprises at least one first amorphous region and the second transistor element comprises at least one second amorphous region. A stress-creating layer is formed over the first transistor element. The stress-creating layer does not cover the second transistor element. A first annealing process is performed. The first annealing process is adapted to re-crystallize the first amorphous region and the second amorphous region. After the first annealing process, a second annealing process is performed. The stress-creating layer remains on the semiconductor substrate during the second annealing process.
    Type: Grant
    Filed: May 18, 2007
    Date of Patent: August 10, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Andreas Gehring, Andy Wei, Anthony Mowry, Manuj Rathor
  • Patent number: 7759711
    Abstract: Disclosed is a semiconductor device including: an N-type RESURF region formed in a P-type semiconductor substrate; a P-type base region formed in an upper portion of the semiconductor substrate so as to be adjacent to the RESURF region; an N-type emitter/source region formed in the base region so as to be apart from the RESURF region; a P-type base connection region formed in the base region so as to be adjacent to the emitter/source region; a gate insulating film and a gate electrode overlying the emitter/source region, the base region, and the RESURF region; and a P-type collector region formed in the RESURF region so as to be apart from the base region. Lattice defect is generated in the semiconductor substrate such that a resistance value of the semiconductor substrate is twice or more the resistance value of the semiconductor substrate that depends on the concentration of an impurity implanted in the semiconductor substrate.
    Type: Grant
    Filed: October 22, 2008
    Date of Patent: July 20, 2010
    Assignee: Panasonic Corporation
    Inventors: Kazuyuki Sawada, Yuji Harada, Masahiko Niwayama, Saichirou Kaneko, Yoshimi Shimizu
  • Patent number: 7754556
    Abstract: By recessing portions of the drain and source areas on the basis of a spacer structure, the subsequent implantation process for forming the deep drain and source regions may result in a moderately high dopant concentration extending down to the buried insulating layer of an SOI transistor. Furthermore, the spacer structure maintains a significant amount of a strained semiconductor alloy with its original thickness, thereby providing an efficient strain-inducing mechanism. By using sophisticated anneal techniques, undue lateral diffusion may be avoided, thereby allowing a reduction of the lateral width of the respective spacers and thus a reduction of the length of the transistor devices. Hence, enhanced charge carrier mobility in combination with reduced junction capacitance may be accomplished on the basis of reduced lateral dimensions.
    Type: Grant
    Filed: February 7, 2008
    Date of Patent: July 13, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thomas Feudel, Markus Lenski, Andreas Gehring
  • Patent number: 7749849
    Abstract: Methods for selectively oxidizing a semiconductor structure include generating a gas cluster ion beam comprising an oxidizing source gas, directing the gas cluster ion beam to a region of a substrate adjacent a conductive line and exposing the region to the gas cluster ion beam including an oxidizing matter. Utilizing the gas cluster ion beam enables selective oxidation of a targeted region at temperatures substantially lower than those of typical oxidation processes thus, reducing or eliminating oxidation of the conductive line. Semiconductor devices including transistors formed using such methods are also disclosed.
    Type: Grant
    Filed: December 18, 2007
    Date of Patent: July 6, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Yongjun Jeff Hu, Allen McTeer, Naga Chandrasekaran
  • Patent number: 7744274
    Abstract: Provided is an apparatus for substrate processing. The apparatus may include a radiation source emitting a photonic beam, an optical system to form a beam image, a scanning stage, a temperature monitoring means, an output signal generator that compares the monitored temperature with a preset temperature, and a controller coupled to the radiation source and the stage. The stage may be adapted to scan the substrate so the beam image heats a region of the substrate surface, and the temperature monitoring means may collect and analyzes p-polarized radiation of at least three different spectral regions emitted from one or more places on the heated substrate region. The controller in response to a temperature error signal may be programmed to alter the beam intensity and/or to provide changes in the scanning velocity between the stage and the beam. Other apparatuses and temperature monitoring systems are provided as well.
    Type: Grant
    Filed: June 20, 2007
    Date of Patent: June 29, 2010
    Assignee: Ultratech, Inc.
    Inventors: Boris Grek, Michael Weitzel, David A. Markle
  • Patent number: 7746528
    Abstract: A galvanometer mirror rotates in one direction when the galvanometer mirror is used. A spot can be scanned on an irradiated surface at a more constant speed by rotating the galvanometer mirror and by using the inertia. Moreover, it is preferable to make the galvanometer mirror heavy because the inertia becomes higher so that the spot is scanned at a more constant speed. In addition, in a polygon mirror of this invention, mirrors are arranged so as not to contact each other because a change time of the scanning position between the mirrors is provided. By moving the irradiated object with timing together when the laser light is not irradiated, the laser process can be performed efficiently.
    Type: Grant
    Filed: June 20, 2008
    Date of Patent: June 29, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd
    Inventor: Koichiro Tanaka
  • Publication number: 20100155803
    Abstract: Methods for fabricating integrated circuits include fabricating a logic device on a substrate, forming an intermediate semiconductor substrate on a surface of the logic device, and fabricating a capacitor-less memory cell on the intermediate semiconductor substrate. Integrated circuits with capacitor-less memory cells formed on a surface of a logic device are also disclosed, as are multi-core microprocessors including such integrated circuits.
    Type: Application
    Filed: December 18, 2008
    Publication date: June 24, 2010
    Applicant: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Patent number: 7741200
    Abstract: Methods for formation and treatment of epitaxial layers containing silicon and carbon are disclosed. Treatment converts interstitial carbon to substitutional carbon in the epitaxial layer, according to one or more embodiments. Specific embodiments pertain to the formation and treatment of epitaxial layers in semiconductor devices, for example, Metal Oxide Semiconductor Field Effect Transistor (MOSFET) devices. In specific embodiments, the treatment of the epitaxial layer involves annealing for short periods of time, for example, by laser annealing, millisecond annealing, rapid thermal annealing, spike annealing and combinations thereof. Embodiments include amorphization of at least a portion of the epitaxial layer containing silicon and carbon.
    Type: Grant
    Filed: July 16, 2007
    Date of Patent: June 22, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Yonah Cho, Yihwan Kim
  • Patent number: 7723166
    Abstract: The invention includes optoelectronic devices containing one or more layers of semiconductor-enriched insulator (with exemplary semiconductor-enriched insulator being silicon-enriched silicon oxide and silicon-enriched silicon nitride), and includes solar cells containing one or more layers of semiconductor-enriched insulator. The invention also includes methods of forming optoelectronic devices and solar cells.
    Type: Grant
    Filed: April 16, 2007
    Date of Patent: May 25, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Arup Bhattacharyya
  • Publication number: 20100123174
    Abstract: Embodiments of the present invention are directed to an image sensor having pixel transistors and peripheral transistors disposed in a silicon substrate. For some embodiments, a protective coating is disposed on the peripheral transistors and doped silicon is epitaxially grown on the substrate to form lightly-doped drain (LDD) areas for the pixel transistors. The protective oxide may be used to prevent epitaxial growth of silicon on the peripheral transistors during formation of the LDD areas of the pixel transistors.
    Type: Application
    Filed: November 19, 2008
    Publication date: May 20, 2010
    Applicant: OMNIVISION TECHNOLOGIES, INC.
    Inventors: Duli Mao, Hsin-Chih Tai, Howard E. Rhodes, Vincent Venezia, Yin Qian
  • Patent number: 7713806
    Abstract: Structures and methods of manufacturing are disclosed of dislocation free stressed channels in bulk silicon and SOI (silicon on insulator) CMOS (complementary metal oxide semiconductor) devices by gate stress engineering with SiGe and/or Si:C. A CMOS device comprises a substrate of either bulk Si or SOI, a gate dielectric layer over the substrate, and a stacked gate structure of SiGe and/or Si:C having stresses produced at the interfaces of SSi (strained Si)/SiGe or SSi/Si:C in the stacked gate structure. The stacked gate structure has a first stressed film layer of large grain size Si or SiGe over the gate dielectric layer, a second stressed film layer of strained SiGe or strained Si:C over the first stressed film layer, and a semiconductor or conductor such as p(poly)-Si over the second stressed film layer.
    Type: Grant
    Filed: January 12, 2009
    Date of Patent: May 11, 2010
    Assignee: International Business Machines Corporation
    Inventors: Huilong Zhu, Bruce B. Doris, Huajie Chen
  • Patent number: 7709302
    Abstract: A purpose of the invention is to provide a method for leveling a semiconductor layer without increasing the number and the complication of manufacturing processes as well as without deteriorating a crystal characteristic, and a method for leveling a surface of a semiconductor layer to stabilize an interface between the surface of the semiconductor layer and a gate insulating film, in order to achieve a TFT having a good characteristic. In an atmosphere of one kind or a plural kinds of gas selected from hydrogen or inert gas (nitrogen, argon, helium, neon, krypton and xenon), radiation with a laser beam in the first, second and third conditions is carried out in order, wherein the first condition laser beam is radiated for crystallizing a semiconductor film or improving a crystal characteristic; the second condition laser beam is radiated for eliminating an oxide film; and the third condition laser beam is radiated for leveling a surface of the crystallized semiconductor film.
    Type: Grant
    Filed: January 8, 2004
    Date of Patent: May 4, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Setsuo Nakajima
  • Patent number: 7696047
    Abstract: A gate insulating film 3 is formed of an insulative inorganic material containing silicon and oxygen as a main material. The gate insulating film 3 contains hydrogen atoms. A part of the absorbance of infrared radiation of which wave number is in the range of 830 to 900 cm?1 is less than both the absorbance of infrared radiation at the wave number of 830 cm?1 and the absorbance of infrared radiation at the wave number of 900 cm?1 when the insulating film to which an electric field has never been applied is measured by means of Fourier Transform Infrared Spectroscopy at room temperature.
    Type: Grant
    Filed: July 31, 2008
    Date of Patent: April 13, 2010
    Assignee: Seiko Epson Corporation
    Inventors: Masayasu Miyata, Masamitsu Uehara
  • Patent number: 7691715
    Abstract: A method for fabricating a device using an oxide semiconductor, including a process of forming the oxide semiconductor on a substrate and a process of changing the conductivity of the oxide semiconductor by irradiating a predetermined region thereof with an energy ray.
    Type: Grant
    Filed: July 29, 2008
    Date of Patent: April 6, 2010
    Assignee: Canon Kabushiki Kaisha
    Inventors: Nobuyuki Kaji, Hisato Yabuta
  • Publication number: 20100081247
    Abstract: A method for manufacturing a semiconductor device such as a thin film transistor using a crystal silicon film is provided. The crystal silicon film is obtained by selectively forming films, particles or clusters containing nickel, iron, cobalt, ruthenium, rhodium, paradium, osmium, iridium, platinum, scandium, titanium, vanadium, chrome, manganese, copper, zinc, gold, silver or silicide thereof in a form of island, line, stripe, dot or film on or under an amorphous silicon film and using them as a starting point, by advancing its crystallization by annealing at a temperature lower than a normal crystallization temperature of an amorphous silicon. A transistor whose leak current is low and a transistor in which a mobility is high are obtained in the same time in structuring a dynamic circuit having a thin film transistor by selectively forming a cover film an a semiconductor layer which is to become an active layer of the transistor and by thermally crystallizing it thereafter.
    Type: Application
    Filed: October 15, 2009
    Publication date: April 1, 2010
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Hongyong ZHANG, Hideki UOCHI, Toru TAKAYAMA, Takeshi FUKUNAGA, Yasuhiko TAKEMURA
  • Publication number: 20100068862
    Abstract: A field-effect transistor (FET) with a round-shaped nano-wire channel and a method of manufacturing the FET are provided. According to the method, source and drain regions are formed on a semiconductor substrate. A plurality of preliminary channel regions is coupled between the source and drain regions. The preliminary channel regions are etched, and the etched preliminary channel regions are annealed to form FET channel regions, the FET channel regions having a substantially circular cross-sectional shape.
    Type: Application
    Filed: November 23, 2009
    Publication date: March 18, 2010
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sungyoung Lee, Dongsuk Shin