Radiation Or Energy Treatment Modifying Properties Of Semiconductor Regions Of Substrate (e.g., Thermal, Corpuscular, Electromagnetic, Etc.) Patents (Class 438/308)
  • Patent number: 7442615
    Abstract: Systems and methods are disclosed to perform semiconductor processing with a process chamber; a flash lamp adapted to be repetitively triggered; and a controller coupled to the control input of the flash lamp to trigger the flash lamp. The system can deploy a solid state plasma source in parallel with the flash lamp in wafer processing.
    Type: Grant
    Filed: May 31, 2006
    Date of Patent: October 28, 2008
    Assignee: Tegal Corporation
    Inventors: Tue Nguyen, Tai Dung Nguyen, Craig Alan Bercaw
  • Patent number: 7439114
    Abstract: For obtaining p-Si by irradiating a laser beam to an a-Si layer to polycrystallize, an energy level in a region to be irradiated by the laser beam is set such that a level at the rear area of the region along a scan direction of the laser beam is lower than that at the front area or the center area of the region. The energy level at the front area or the center area of the region is set such that it is substantially equal to or more than the upper limit energy level which maximizes a grain size of the p-Si obtained. Since an energy profile is set as described above, when the laser beam is scanned on the a-Si layer, an irradiated energy of the laser on the region is gradually lowered from the upper limit as the laser beam passes through, which allows the semiconductor layer to be annealed within an optimal energy level during the latter half of the annealing process.
    Type: Grant
    Filed: August 18, 2005
    Date of Patent: October 21, 2008
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Hidenori Ogata, Ken Wakita, Kiyoshi Yoneda, Yoshihiro Morimoto, Tsutomu Yamada, Kazuhiro Imao, Takashi Kuwahara
  • Publication number: 20080254588
    Abstract: A method for forming a semiconductor structure includes forming a gate dielectric layer over a substrate. A top surface of the gate dielectric layer is treated so as to at least partially nitridize the gate dielectric layer. The treated gate dielectric layer is thermally treated with an oxygen-containing precursor such that the at least partially nitridized gate dielectric layer has a nitrogen concentration between about 0.5 atomic percentage (at. %) and about 20 at %.
    Type: Application
    Filed: April 16, 2007
    Publication date: October 16, 2008
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Harry Chuang, Kong-Beng Thei, Hung-Chih Tsai, M. Y. Wu, Mong-Song Liang
  • Patent number: 7435635
    Abstract: A semiconductor material and a method for forming the same, said semiconductor material having produced by a process comprising melting a noncrystal semiconductor film containing therein carbon, nitrogen, and oxygen each at a concentration of 5×1019 atoms·cm?3 or lower, preferably 1×1019 atoms·cm?3 or lower, by irradiating a laser beam or a high intensity light equivalent to a laser beam to said noncrystal semiconductor film, and then recrystallizing the thus molten amorphous silicon film. The present invention provides thin film semiconductors having high mobility at an excellent reproducibility, said semiconductor materials being useful for fabricating thin film semiconductor devices such as thin film transistors improved in device characteristics.
    Type: Grant
    Filed: April 14, 2005
    Date of Patent: October 14, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hongyong Zhang, Naoto Kusumoto, Yasuhiko Takemura
  • Patent number: 7435658
    Abstract: A method of manufacturing a MOS transistor is provided. A substrate having a gate structure thereon is provided. A first spacer is formed on the sidewall of the gate structure. A pre-amorphization implantation is carried out to amorphize a portion of the substrate. A doped source/drain extension region is formed in the substrate on each side of the first spacer. A second spacer is formed on the sidewall of the first spacer. A doped source/drain region is formed in the substrate on each side of the second spacer. Thereafter, a solid phase epitaxial process is carried out to re-crystallize the amorphized portion of the substrate and activate the doped source/drain extension region and the doped source/drain region to form a source/drain terminal. Finally, a post-annealing operation is performed.
    Type: Grant
    Filed: June 7, 2005
    Date of Patent: October 14, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Yu-Ren Wang, Chin-Cheng Chien, Hsiang-Ying Wang, Neng-Hui Yang
  • Publication number: 20080242020
    Abstract: A method of manufacturing a metal-oxide-semiconductor (MOS) transistor device is disclosed. A semiconductor substrate and a gate structure positioned on the semiconductor substrate are prepared first. A source region and a drain region are included in the semiconductor substrate on two opposite sides of the gate structure. Subsequently, a stressed cap layer is formed on the semiconductor substrate, and covers the gate structure, the source region and the drain region. Next, an inert gas treatment is performed to change a stress value of the stressed cap layer. Because the stress value of the stressed cap layer can be adjusted easily by means of the present invention, one stressed cap layer can be applied to both the N-type MOS transistor and the P-type MOS transistor.
    Type: Application
    Filed: March 28, 2007
    Publication date: October 2, 2008
    Inventors: Jei-Ming Chen, Neng-Kuo Chen, Hsiu-Lien Liao, Teng-Chun Tsai, Chien-Chung Huang, Shih-Wei Sun
  • Publication number: 20080233703
    Abstract: An electronic device and method for forming same. The electronic device includes a source and drain region. Each region has an uppermost portion comprised of a first silicide where the first silicide is overlaid with a first dielectric layer. The electronic device further includes a gate region having an uppermost portion comprised of a second silicide. The second silicide is both thicker than the first silicide and has a lower resistivity than the first silicide with at least a portion of the second silicide being formed in an opening in the first dielectric layer.
    Type: Application
    Filed: March 21, 2007
    Publication date: September 25, 2008
    Applicant: ATMEL CORPORATION
    Inventors: Romain Coppard, Jerome Lolivier
  • Publication number: 20080230841
    Abstract: An integrated circuit system that includes: providing a gate and a spacer formed over a substrate; performing an implant that amorphizes the gate and a source/drain region defined by the spacer; removing the spacer; depositing a stress memorization layer over the integrated circuit system; and transferring a stress from the stress memorization layer to the gate and the source/drain region.
    Type: Application
    Filed: March 21, 2007
    Publication date: September 25, 2008
    Applicant: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Elgin Kiok Boone Quek, Pradeep Ramachandramurthy Yelehanka
  • Patent number: 7422987
    Abstract: It is an object of the invention to provide a technique forming a crystalline semiconductor film whose orientation is uniform by control of crystal orientation and obtaining a crystalline semiconductor film in which concentration of an impurity is reduced. A configuration of the invention is that a first semiconductor region is formed on a substrate having transparent characteristics of a visible light region, a barrier film is formed over the first semiconductor region, a heat retaining film covering a top and side surfaces of the first semiconductor region is formed through the barrier film, the first semiconductor region is crystallized by scanning of a continuous wave laser beam from one edge of the first semiconductor region to the other through the substrate, the heat retaining film and the barrier film are removed, then a second semiconductor region is formed as an active layer of TFT by etching the first semiconductor region.
    Type: Grant
    Filed: September 25, 2006
    Date of Patent: September 9, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 7419860
    Abstract: A crystalline semiconductor having an even surface and a large crystal grain size is formed on an economical glass substrate using a laser crystallizing technology. A series of processes, including forming an insulation film on a glass substrate; forming a semiconductor film in the first layer; crystallizing the semiconductor film in the first layer by irradiating laser light stepwise from weak energy laser light to strong energy laser light; forming a semiconductor film in a second layer having a film thickness thinner than that of the semiconductor film in the first layer; performing laser crystallization of the semiconductor thin film in the second layer by irradiating laser light stepwise from weak energy laser light to strong energy laser light, are continuously performed without exposing the workpiece to the atmosphere.
    Type: Grant
    Filed: March 17, 2003
    Date of Patent: September 2, 2008
    Assignee: Hitachi, Ltd.
    Inventors: Youmei Shinagawa, Akio Mimura, Genshiro Kawachi, Takeshi Satoh
  • Patent number: 7419861
    Abstract: To form a polycrystalline silicon film having a grain size of 1 ?m or greater by means of laser annealing. A beam emitted from a laser apparatus (101) is split in two by a half mirror. The split beams are processed into linear shapes by cylindrical lenses (102) to (105), and (207), then simultaneously irradiate an irradiation surface (209). If an amorphous silicon film formed on a glass substrate is disposed on the irradiation surface (209), an area will be irradiated by both a linear shape beam entering from a front surface and a linear shape beam that has transmitted through the glass surface. Both linear shape beams irradiate the same area to thereby crystallize the amorphous silicon film.
    Type: Grant
    Filed: June 22, 2005
    Date of Patent: September 2, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Koichiro Tanaka, Shunpei Yamazaki, Ritsuko Kawasaki
  • Patent number: 7410878
    Abstract: A method of forming a polysilicon film having smooth surface using a lateral growth and a step-and-repeat laser process. Amorphous silicon formed in a first irradiation region of a substrate is crystallized to form a first polysilicon region by a first laser shot. Then, the substrate is moved a predetermined distance, and irradiated by a second laser shot. The polysilicon region is then recrystallized and locally planarized by subsequent laser shots. After multiple repetitions of the irradiation procedure, the amorphous silicon film formed on a substrate is completely transformed into a polysilicon film. The polysilicon film includes lateral growth crystal grains and nano-trenches formed in parallel on the surface of the polysilicon film. A longitudinal direction of the nano-trenches is substantially perpendicular to a lateral growth direction of the crystal grains.
    Type: Grant
    Filed: October 9, 2006
    Date of Patent: August 12, 2008
    Assignee: AU Optronics Corp.
    Inventors: Chih-Wei Gordon Chao, Ming-Wei Sun
  • Publication number: 20080171413
    Abstract: A method for reducing STI processing induced stress on a substrate during fabrication of a MOSFET. The method includes providing a substrate, wells (including dopants), and STIs in an upper layer of the substrate. A layer of an oxide substance is formed on a top surface of the upper layer of the substrate covering the STIs. A layer of a nitride substance is formed over the oxide layer. The substrate is annealed using temperatures greater than 1000° C. to activate the dopants in the wells which results in less stress on the STIs and hence less stress in the channels because of the nitride substance layer. The nitride and oxide substance layers are then stripped off the substrate, and CMOS fabrication is continued. The low stress remains in the channels if the thermal budget in following processes are low by using low temperature RTA and/or laser anneal.
    Type: Application
    Filed: January 17, 2007
    Publication date: July 17, 2008
    Applicant: International Business Machines Corporation
    Inventors: Meikei Leong, Qiqing C. Ouyang, Chun-Yung Sung
  • Publication number: 20080171417
    Abstract: Patterning effects on a substrate are reduced during radiation-based heating by filtering the radiation source or configuring the radiation source to produce radiation having different spectral characteristics. For the filtering, an optical filter may be used to truncate specific wavelengths of the radiation. The different configurations of the radiation source include a combination of one or more continuum radiation sources with one or more discrete spectrum sources, a combination of multiple discrete spectrum sources, or a combination of multiple continuum radiation sources. Furthermore, one or more of the radiation sources may be configured to have a substantially non-normal angle of incidence or polarized to reduce patterning effects on a substrate during radiation-based heating.
    Type: Application
    Filed: January 12, 2007
    Publication date: July 17, 2008
    Inventors: Balasubramanian Ramachandran, Joseph Michael Ranish, Aaron Muir Hunter
  • Publication number: 20080153242
    Abstract: A method of forming vias and pillars using printed masks is described. The printed masks are typically made from droplets that include suspended metal nanoparticles. The use of metal to the same metal nanoparticles in both the mask formation and the subsequent formation of conducting structures simplifies the fabrication process.
    Type: Application
    Filed: December 22, 2006
    Publication date: June 26, 2008
    Inventors: Jurgen H. Daniel, Ana C. Arias
  • Publication number: 20080135955
    Abstract: A semiconductor device includes low concentration source/drain regions and high concentration source/drain regions each being formed in a semiconductor substrate, a gate insulation film formed on part of the semiconductor substrate located between the low concentration source/drain regions when viewed from the top and a gate electrode formed of metal silicide on the gate insulation film. A gate length of upper part of the gate electrode is larger than a gate length of other part of the gate electrode.
    Type: Application
    Filed: August 14, 2007
    Publication date: June 12, 2008
    Inventor: Hayato Korogi
  • Publication number: 20080128806
    Abstract: Formation of carbon-substituted single crystal silicon layer is prone to generation of large number of defects especially at high carbon concentration. The present invention provides structures and methods for providing low defect carbon-substituted single crystal silicon layer even for high concentration of carbon in the silicon. According to the present invention, the active retrograde profile in the carbon implantation reduces the defect density in the carbon-substituted single crystal silicon layer obtained after a solid phase epitaxy. This enables the formation of semiconductor structures with compressive stress and low defect density. When applied to semiconductor transistors, the present invention enables N-type field effect transistors with enhanced electron mobility through the tensile stress that is present into the channel.
    Type: Application
    Filed: December 1, 2006
    Publication date: June 5, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Yaocheng Liu, Subramanian S. Iyer, Jinghong Li
  • Publication number: 20080128766
    Abstract: A MOSFET structure and method of fabricating the structure incorporates a multi-layer sidewall spacer to suppress parasitic overlap capacitance between the gate conductor and the source/drain extensions without degrading drive current and, thereby, effecting overall MOSFET performance. The multi-layer sidewall spacer is formed with a gap layer having a dielectric constant equal to one and a permeable low-K (e.g., less than 3.5) dielectric layer. Alternatively, the multi-layer sidewall spacer is formed with a first L-shaped dielectric layer having a permittivity value of less than approximately three and a second dielectric layer. The multi-layer spacer may also have a third nitride or oxide spacer layer. This third spacer layer provides increased structural integrity.
    Type: Application
    Filed: February 14, 2008
    Publication date: June 5, 2008
    Applicant: International Business Machines Corporation
    Inventors: Elbert E. Huang, Philip J. Oldiges, Ghavam G. Shahidi, Christy S. Tyberg, Xinlin Wang, Robert L. Wisnieff
  • Patent number: 7381598
    Abstract: A thin film transistor of reversed stagger type having improved characteristics and yet obtained by a simple process, which is fabricated by selectively doping the semiconductor region on the gate dielectric to form the source, drain, and channel forming regions by using ion implantation, ion doping, or doping a plasma of ions; and then effecting rapid thermal annealing by irradiating a ultraviolet radiation, a visible light, or a near-infrared radiation for a short period of time. The source, drain, and channel forming regions are formed substantially within a single plane.
    Type: Grant
    Filed: December 27, 2002
    Date of Patent: June 3, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Yasuhiko Takemura
  • Publication number: 20080116487
    Abstract: Transistors having a high carrier mobility and devices incorporating the same are fabricated by forming a preliminary semiconductor layer in a semiconductor substrate at both sides of a gate pattern. A source/ drain semiconductor layer having a heterojunction with the semiconductor substrate is formed by irradiating a laser beam onto the preliminary semiconductor layer. The source/drain semiconductor layer is formed in a recrystallized single crystal structure.
    Type: Application
    Filed: July 24, 2007
    Publication date: May 22, 2008
    Inventors: Byeong-Chan Lee, Si-Young Choi, Young-Pil Kim, Yong-Hoon Son, In-Soo Jung, Jin-Bum Kim
  • Publication number: 20080111201
    Abstract: Provided is a method for manufacturing a semiconductor device. In the method, a gate oxide layer, a gate polysilicon layer, and a capping oxide layer are sequentially formed on a semiconductor substrate. A photoresist pattern is formed on the capping oxide layer. The capping oxide layer, gate polysilicon layer, and gate oxide layer are sequentially etched using the photoresist pattern as an etch mask. Ions are then implanted into the semiconductor substrate using the photoresist pattern as a mask. A thermal diffusion process is performed to form source/drain regions. The capping oxide layer is removed, and ions are implanted into the gate polysilicon layer. After metal is deposited on the gate polysilicon layer, a silicide is formed.
    Type: Application
    Filed: August 13, 2007
    Publication date: May 15, 2008
    Inventor: Yong ho Oh
  • Publication number: 20080102589
    Abstract: A method for improved manufacturing stability of transistors having silicide layers is provided. A gate electrode 105 and a side wall insulating film that covers a side surface of the gate electrode are formed over the device-forming surface of a silicon substrate 101. A source/drain region 109 is formed in a periphery of the gate electrode 105 on the silicon substrate 101. A Ni film 115 is formed on the entire device-forming surface of the silicon substrate 101 that is provided with a side wall 107 formed thereon, and then, a reaction of the silicon substrate 101 with the Ni film 115 on the source/drain region 109 by heating the silicon substrate 101. Thereafter, unreacted portions of the Ni film 115 are removed, and then a Ni silicide layer 111 is formed on the source/drain region 109.
    Type: Application
    Filed: January 8, 2007
    Publication date: May 1, 2008
    Applicant: NEC ELECTRONICS CORPORATION
    Inventor: Tomoko Matsuda
  • Publication number: 20080102590
    Abstract: A method of forming a semiconductor structure comprises providing a semiconductor substrate comprising a first transistor element and a second transistor element. The first transistor element comprises at least one first amorphous region and the second transistor element comprises at least one second amorphous region. A stress-creating layer is formed over the first transistor element. The stress-creating layer does not cover the second transistor element. A first annealing process is performed. The first annealing process is adapted to re-crystallize the first amorphous region and the second amorphous region. After the first annealing process, a second annealing process is performed. The stress-creating layer remains on the semiconductor substrate during the second annealing process.
    Type: Application
    Filed: May 18, 2007
    Publication date: May 1, 2008
    Inventors: Andreas Gehring, Andy Wei, Anthony Mowry, Manuj Rathor
  • Publication number: 20080102591
    Abstract: A method of manufacturing a silicon carbide semiconductor device having a MOS structure includes preparing a substrate made of silicon carbide, and forming a channel region, a first impurity region, a second impurity region, a gate insulation layer, and a gate electrode to form a semiconductor element on the substrate. In addition, a film is formed on the semiconductor element to provide a material of an interlayer insulation layer, and a reflow process is performed at a temperature about 700° C. or over in an wet atmosphere so that the interlayer insulation layer is formed from the film and an edge portion of the gate electrode is rounded and oxidized.
    Type: Application
    Filed: October 23, 2007
    Publication date: May 1, 2008
    Applicant: DENSO CORPORATION
    Inventors: Hiroki Nakamura, Hiroyuki Ichikawa, Eiichi Okuno
  • Patent number: 7361566
    Abstract: A method of forming poly-silicon thin film transistors is described. An amorphous silicon thin film transistor is formed on a substrate, and then the Infrared (IR) heating process is used. A gate metal and source/drain metal are heated rapidly, and conduct heat energy to an amorphous silicon layer. Next, crystallization occurs in the amorphous silicon layer to form poly-silicon. Therefore a poly-silicon thin film transistor is produced.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: April 22, 2008
    Assignee: Industrial Technology Research Institute
    Inventors: Chi-Lin Chen, Shun-Fa Huang, Liang-Tang Wang
  • Patent number: 7351638
    Abstract: A method of manufacturing a semiconductor device includes forming a gate electrode over a substrate, implanting dopants into the substrate and activating the dopants using laser thermal annealing. During annealing, the laser and substrate are moved relative to one another, and the movement of the laser and the substrate relative to one another does not pause between and during activating one portion of the source/drain regions and activating another portion of the source/drain regions. Each pulse from the laser can respectively irradiate different portions of the source/drain regions, and a spot area of the laser is less than 50 millimeter2.
    Type: Grant
    Filed: December 18, 2001
    Date of Patent: April 1, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Cyrus E. Tabery, Eric N. Paton, Bin Yu, Qi Xiang, Robert B. Ogle
  • Publication number: 20080076227
    Abstract: The present invention provides a method for manufacturing a semiconductor device. The method for manufacturing the semiconductor device includes, among other steps, forming a gate structure over a substrate, the gate structure having source/drain regions proximate thereto and in, on or over the substrate, forming a pre-metal dielectric layer over the gate structure and source/drain regions, and subjecting the pre-metal dielectric layer to an energy beam treatment, the energy beam treatment configured to change a stress of the pre-metal dielectric layer, and thus change a stress in the substrate therebelow.
    Type: Application
    Filed: September 21, 2006
    Publication date: March 27, 2008
    Applicant: Texas Instruments Incorporated
    Inventors: Puneet Kohli, Manoj Mehrotra, Jin Zhao, Sameer Ajmera
  • Publication number: 20080076226
    Abstract: Embodiments of an apparatus and methods for heating an absorbing layer on a wafer by exposing the wafer to an electromagnetic energy source are generally described herein. Other embodiments may be described and claimed.
    Type: Application
    Filed: September 22, 2006
    Publication date: March 27, 2008
    Inventors: Karson L. Knutson, Robert James
  • Publication number: 20080070372
    Abstract: In a method of manufacturing a semiconductor device, an insulating layer pattern defining at least one opening partially exposing a semiconductor substrate is formed on a semiconductor substrate including a single crystalline material. An amorphous thin layer is formed on the insulating layer pattern to fill up the opening. The amorphous thin layer is transformed into a single crystalline thin layer by providing the amorphous thin layer with a laser beam having sufficient energy to melt the amorphous thin layer. Here, the semiconductor substrate partially exposed through the opening is used as a seed. A gate pattern is formed on the single crystalline thin layer. Source/drain regions are formed at surface portions of the single crystalline thin layer adjacent to both sidewalls of the gate pattern.
    Type: Application
    Filed: September 10, 2007
    Publication date: March 20, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Yong-Hoon SON, Si-Young CHOI, Jong-Wook LEE
  • Patent number: 7335539
    Abstract: A method for making a thin-film semiconductor device includes an annealing step of irradiating an amorphous semiconductor thin film with a laser beam so as to crystallize the amorphous semiconductor thin film. In the annealing step, the semiconductor thin film is continuously irradiated with the laser beam while shifting the position of the semiconductor thin film irradiated with the laser beam at a predetermined velocity so that excess hydrogen can be removed from the region irradiated with the laser beam without evaporating and expanding hydrogen ions in the semiconductor thin film.
    Type: Grant
    Filed: August 16, 2007
    Date of Patent: February 26, 2008
    Assignee: Sony Corporation
    Inventors: Akio Machida, Hirotaka Akao, Takahiro Kamei, Isamu Nakao
  • Publication number: 20080038894
    Abstract: Methods and devices for selective etching in a semiconductor process are shown. Chemical species generated in a reaction chamber provide both a selective etching function and concurrently form a protective coating on other regions. An electron beam provides activation to selective chemical species. In one example, reactive species are generated from a plasma source to provide an increased reactive species density. Addition of other gasses to the system can provide functions such as controlling a chemistry in a protective layer during a processing operation. In one example an electron beam array such as a carbon nanotube array is used to selectively expose a surface during a processing operation.
    Type: Application
    Filed: August 14, 2006
    Publication date: February 14, 2008
    Inventors: Neal R. Rueger, Mark J. Williamson, Gurtej S. Sandhu
  • Patent number: 7329620
    Abstract: A system and method is disclosed for providing an integrated circuit that has increased radiation hardness and reliability. A device active area of an integrated circuit is provided and a layer of radiation resistant material is applied to the device active area of the integrated circuit. In one advantageous embodiment the radiation resistant material is silicon carbide. In another advantageous embodiment a passivation layer is placed between the device active area and the layer of radiation resistant material. The integrated circuit of the present invention exhibits minimal sensitivity to (1) enhanced low dose rate sensitivity (ELDRS) effects of radiation, and (2) pre-irradiation elevated temperature stress (PETS) effects of radiation.
    Type: Grant
    Filed: October 8, 2004
    Date of Patent: February 12, 2008
    Assignee: National Semiconductor Corporation
    Inventor: Michael C. Maher
  • Patent number: 7326623
    Abstract: Arrangements (e.g., methods) for manufacturing a display device, including irradiating an amorphous semiconductor film formed on a substrate with an excimer laser beam to convert the amorphous semiconductor film into a polycrystalline semiconductor film; and irradiating predetermined areas of the polycrystalline semiconductor film intermittently with a continuous wave laser beam while a position of the substrate with respect to the continuous wave laser beam is scanned, crystal grains larger than those of the polycrystalline semiconductor film other than the predetermined areas are formed in each of the predetermined areas locally in the polycrystalline semiconductor film, wherein first thin film transistors are formed in the predetermined areas while second thin film transistors are formed in the polycrystalline semiconductor film other than the predetermined areas thereof.
    Type: Grant
    Filed: August 24, 2005
    Date of Patent: February 5, 2008
    Assignee: Hitachi, Ltd.
    Inventors: Mikio Hongo, Sachio Uto, Mineo Nomoto, Toshihiko Nakata, Mutsuko Hatano, Shinya Yamaguchi, Makoto Ohkura
  • Patent number: 7316960
    Abstract: Provided is a method of manufacturing a microelectronic device. In one example where the device includes a semiconductor substrate with a gate feature and a shallow junction, the method includes introducing dopants to the substrate to form a source region and a drain region. A strained layer may be formed over the substrate after introducing the dopants, and an annealing process may be performed after forming the strained layer.
    Type: Grant
    Filed: July 13, 2004
    Date of Patent: January 8, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Steve Ming Ting
  • Publication number: 20070298575
    Abstract: Methods for reducing contact resistance in semiconductor devices are provided in the present invention. In one embodiment, the method includes providing a substrate having semiconductor device formed thereon, wherein the device has source and drain regions and a gate structure formed therein, performing a silicidation process on the substrate by a thermal annealing process, and performing a laser anneal process on the substrate. In another embodiment, the method includes providing a substrate having implanted dopants, performing a silicidation process on the substrate by a thermal annealing process, and activating the dopants by a laser anneal process.
    Type: Application
    Filed: June 23, 2006
    Publication date: December 27, 2007
    Inventors: Faran Nouri, Eun-Ha Kim, Sunderraj Thirupapuliyur, Vijay Parihar
  • Publication number: 20070293012
    Abstract: Exemplary embodiments provide methods for reducing and/or removing slip and plastic deformations in semiconductor materials by use of one or more ultra-fast thermal spike anneals. The ultra-fast thermal spike anneal can be an ultra-high temperature (UHT) anneal having an ultra-short annealing time. During the ultra-fast thermal spike anneal, an increased annealing power density can be used to achieve a desired annealing temperature required by manufacturing processes. In an exemplary embodiment, the annealing temperature can be in the range of about 1150° C. to about 1390° C. and the annealing dwell time can be on the order of less than about 0.8 milliseconds. In various embodiments, the disclosed spike-annealing processes can be used to fabrication structures and regions of MOS transistor devices, for example, drain and source extension regions and/or drain and source regions.
    Type: Application
    Filed: June 14, 2007
    Publication date: December 20, 2007
    Inventor: Amitabh Jain
  • Patent number: 7303967
    Abstract: Disclosed is a method for fabricating a transistor of a semiconductor device, the method comprising the steps of: providing a semiconductor; forming a gate electrode; performing a low-density ion implantation process with respect to the substrate, thereby forming an LDD ion implantation layer; forming an insulation spacer on a sidewall of the gate electrode; forming a diffusion barrier; performing a high-density ion implantation process with respect to the substrate, thereby forming a source/drain; performing a first thermal treatment process with respect to a resultant structure, so as to activate impurities in the source/drain, and simultaneously causing a diffusion velocity of the impurities in the source/drain to be reduced by the diffusion barrier; and forming a salicide layer.
    Type: Grant
    Filed: June 23, 2004
    Date of Patent: December 4, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Seung Hoon Sa
  • Patent number: 7300516
    Abstract: When a laser beam is radiated on a semiconductor film under appropriate conditions, the semiconductor film can be crystallized into single crystal-like grains connected in a scanning direction of the laser beam (laser annealing). The most efficient laser annealing condition is studied. When a length of one side of a rectangular substrate on which a semiconductor film is formed is b, a scanning speed is V, and acceleration necessary to attain the scanning speed V of the laser beam relative to the substrate is g, and when V=(gb/5.477)1/2 is satisfied, a time necessary for the laser annealing is made shortest. The acceleration g is made constant, however, when it is a function of time, a time-averaged value thereof can be used in place of the constant.
    Type: Grant
    Filed: October 13, 2004
    Date of Patent: November 27, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Koichiro Tanaka
  • Publication number: 20070249134
    Abstract: A laser irradiation process includes: scanning a substrate with laser having a predetermined lasing frequency at different irradiation intensities to form a plurality of first irradiation areas corresponding to the irradiation intensities; illuminating the first irradiation areas to reflected light receive from the fist irradiation areas; determining microcrystallization intensity based on the received reflected light; and determining irradiation intensity based on the thus determined microcrystallization intensity. The laser irradiation process uses the irradiation intensity for irradiating a polycrystalline film in a product semiconductor device.
    Type: Application
    Filed: April 19, 2007
    Publication date: October 25, 2007
    Applicants: NEC CORPORATION, NEC LCD Technologies, Ltd.
    Inventors: MITSURU NAKATA, Hirofumi Shimamoto, Hiroshi Kanoh
  • Publication number: 20070234539
    Abstract: A method for manufacturing a capacitor embedded in a PCB includes: preparing a copper clad lamination (CCL) substrate having a reinforcement member and copper foils formed on both surfaces of the reinforcement member; planarizing surfaces of the copper foils of the CCL substrate; forming a dielectric layer on the planarized surface of the copper foils; and forming a top electrode on the dielectric layer.
    Type: Application
    Filed: April 5, 2007
    Publication date: October 11, 2007
    Inventors: Seung Eun Lee, Yul Kyo Chung, Hyung Dong Kang, Hyun Ju Jin
  • Patent number: 7279387
    Abstract: A method for fabricating an asymmetric semiconductor device is provided. A substrate formed with at least one base structure of MOSFET thereon is provided, wherein the base structure includes a gate over the substrate and a source extension and a drain extension in the substrate beside the gate. The base structure is then treated with an anisotropic annealing source inclined in the source-to-drain direction of the base structure relative to the normal of the substrate, such that one of the source and drain extensions is shadowed by the gate and the other is annealed more.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: October 9, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Yi-Cheng Chen, Earic Liu, Yu-Kun Chen, Gene Li
  • Patent number: 7273774
    Abstract: A method for making a thin-film semiconductor device includes an annealing step of irradiating an amorphous semiconductor thin film with a laser beam so as to crystallize the amorphous semiconductor thin film. In the annealing step, the semiconductor thin film is continuously irradiated with the laser beam while shifting the position of the semiconductor thin film irradiated with the laser beam at a predetermined velocity so that excess hydrogen can be removed from the region irradiated with the laser beam without evaporating and expanding hydrogen ions in the semiconductor thin film.
    Type: Grant
    Filed: September 27, 2005
    Date of Patent: September 25, 2007
    Assignee: Sony Corporation
    Inventors: Akio Machida, Hirotaka Akao, Takahiro Kamei, Isamu Nakao
  • Patent number: 7262106
    Abstract: A method of processing a substrate comprising depositing a layer comprising amorphous carbon on the substrate and then exposing the substrate to electromagnetic radiation have one or more wavelengths between about 600 nm and about 1000 nm under conditions sufficient to heat the layer to a temperature of at least about 300° C. is provided. Optionally, the layer further comprises a dopant selected from the group consisting of nitrogen, boron, phosphorus, fluorine, and combinations thereof. In one aspect, the layer comprising amorphous carbon is an anti-reflective coating and an absorber layer that absorbs the electromagnetic radiation and anneals a top surface layer of the substrate. In one aspect, the substrate is exposed to the electromagnetic radiation in a laser annealing process.
    Type: Grant
    Filed: January 15, 2004
    Date of Patent: August 28, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Luc Van Autryve, Chris D. Bencher, Dean Jennings, Haifan Liang, Abhilash J. Mayur, Mark Yam, Wendy H. Yeh, Richard A. Brough
  • Patent number: 7259056
    Abstract: In a method for manufacturing a semiconductor device, gate insulation films and gate electrodes are first formed on a substrate. An impurity is implanted into each gate electrode. Next, a first heat treatment is performed to the substrate for diffusing the impurity in the gate electrodes. After the heat treatment, a second heat treatment is performed for releasing stress generated in the substrate in the first heat-treatment. Thereafter, an impurity is implanted into an area to become an implanted region of the substrate, using the gate electrodes as masks, and a third heat treatment is performed for activating the impurity implanted.
    Type: Grant
    Filed: December 6, 2004
    Date of Patent: August 21, 2007
    Assignee: NEC Electronics Corporation
    Inventor: Akira Mineji
  • Patent number: 7259082
    Abstract: The present invention is related to a method of manufacturing a semiconductor device. In particular, the method of the present invention is related to uniformly irradiating a semiconductor film with laser light. In order to achieve the present invention, a scanning speed of the laser light is changed depending on a position to be irradiated. Particularly, the scanning speed becomes higher as the position gets closer to a center of the substrate.
    Type: Grant
    Filed: September 29, 2003
    Date of Patent: August 21, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Koichiro Tanaka
  • Patent number: 7259103
    Abstract: A method of fabricating polycrystalline silicon thin film transistor according to the present invention includes: depositing a buffer layer on a substrate; depositing an amorphous silicon layer on the buffer layer with a predetermined thickness; crystallizing the deposited amorphous silicon layer by using a laser to form a polycrystalline silicon layer; etching the crystallized polycrystalline silicon layer to a predetermined thickness; curing the etched polycrystalline silicon layer; and patterning the cured polycrystalline silicon layer to form a semiconductor layer.
    Type: Grant
    Filed: September 17, 2003
    Date of Patent: August 21, 2007
    Assignee: LG.Philips LCD Co., Ltd.
    Inventor: Sang Hyun Kim
  • Patent number: 7241650
    Abstract: A method of manufacturing a polysilicon layer is provided. Firstly, a substrate is provided. Next, an amorphous silicon having a first region and a second region is formed on the substrate. After that, the amorphous silicon layer in the first region is completely melted and the amorphous silicon layer in the second region is preheated. The completely melted amorphous silicon layer in the first region is crystallized to form a first polysilicon layer. Next, the preheated amorphous silicon layer in the second region is completely melted. The completely melted amorphous silicon layer in the second region is crystallized to form a second polysilicon layer.
    Type: Grant
    Filed: March 24, 2005
    Date of Patent: July 10, 2007
    Assignee: AU Optronics Corp.
    Inventor: Ming-Wei Sun
  • Patent number: 7226826
    Abstract: The present invention provides a semiconductor device, a method of manufacture therefor, and a method for manufacturing an integrated circuit. The semiconductor device (100), among other possible elements, includes a first transistor (120) located over a semiconductor substrate (110), wherein the first transistor (120) has a metal gate electrode (135) having a work function, and a second transistor (160) located over the semiconductor substrate (110) and proximate the first transistor (120), wherein the second transistor (160) has a plasma altered metal gate electrode (175) having a different work function.
    Type: Grant
    Filed: April 16, 2004
    Date of Patent: June 5, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Husam N. Alshareef, Mark R. Visokay, Antonio Luis Pacheco Rotondaro, Luigi Colombo
  • Patent number: 7226823
    Abstract: In a method of obtaining a crystalline silicon film having high crystallinity at a low temperature and for a short time by using a catalytic element and using both a heat treatment and irradiation of laser light, a catalytic element which does not require a gettering step is used as the catalytic element for facilitating crystallization, so that a semiconductor device having high characteristics and high productivity is obtained. Specifically, a coating film of an element in group 14, such as germanium, which is the same group of the periodic table as silicon is formed on an amorphous silicon film formed on a glass substrate, a heat treatment at 550° C. for 4 hours is carried out, and further, irradiation of laser light is carried out, so that a crystalline silicon film is obtained.
    Type: Grant
    Filed: August 3, 2005
    Date of Patent: June 5, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hisashi Ohtani, Shunpei Yamazaki
  • Patent number: 7223665
    Abstract: A method for manufacturing a dielectric thin film capacitor of the present invention includes the steps of coating a liquid raw material on a substrate and performing a first heat treatment to form an adhesive layer, forming a lower electrode on the adhesive layer, coating a liquid raw material on the lower electrode and performing a second heat treatment to form a dielectric thin film by crystallization, forming an upper electrode on the dielectric thin film, and performing a third heat treatment at a temperature higher than those of the first and second heat treatments. The adhesive layer and the dielectric thin film are formed by using materials having the same composition system or using the same material.
    Type: Grant
    Filed: September 3, 2004
    Date of Patent: May 29, 2007
    Assignee: Murata Manufacturing Co., Ltd.
    Inventors: Yutaka Takeshima, Koki Shibuya