Semiconductor Islands Formed Upon Insulating Substrate Or Layer (e.g., Mesa Isolation, Etc.) Patents (Class 438/412)
  • Patent number: 7749817
    Abstract: A system and method for producing a single-crystal germanium layer on a dielectric layer by producing a germanium-on-insulator assembly between the surface portions of the third material. The choice of location for these surface portions therefore makes it possible to define the zone on which it is desired to produce the germanium-on-insulator layer. The wafer may be freely chosen between a pure single-crystal silicon wafer and a silicon-on-insulator wafer. A single-crystal germanium first layer is produced on the surface portion of the silicon. The RPCVD produces a partially crystalline germanium first layer. The layer thus comprises various nuclei that have crystallized in possibly different lattices. After carrying out a recrystallization annealing operation, which makes the layer monocrystalline by recrystallizing the various nuclei in one and the same crystal lattice. Thus, the layers are continuous with the single-crystal silicon lattice.
    Type: Grant
    Filed: January 16, 2007
    Date of Patent: July 6, 2010
    Assignee: STMicroelectronics (Crolles) SAS
    Inventors: Olivier Kermarec, Yves Campidelli
  • Publication number: 20100144111
    Abstract: A process for producing an adhered SOI substrate without causing cracking and peeling of a single-crystal silicon thin film. The process consists of selectively forming a porous silicon layer in a single-crystal semiconductor substrate, adding hydrogen into the single-crystal semiconductor substrate to form a hydrogen-added layer, adhering the single-crystal semiconductor substrate to a supporting substrate, separating the single-crystal semiconductor substrate at the hydrogen-added layer by thermal annealing, performing thermal annealing again to stabilize the adhering interface, and selectively removing the porous silicon layer to give single-crystal silicon layer divided into islands.
    Type: Application
    Filed: February 16, 2010
    Publication date: June 10, 2010
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventor: Takeshi FUKUNAGA
  • Patent number: 7718477
    Abstract: This patent relates to a semiconductor device and a method of fabricating a semiconductor device. The semiconductor device includes an insulating layer formed in a semiconductor substrate, trenches formed within the insulating layer, silicon layers formed within the trenches, gates formed on the silicon layers, and junctions formed in the silicon layers at both sides of the gates.
    Type: Grant
    Filed: December 26, 2007
    Date of Patent: May 18, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hyun Yul Kwon
  • Patent number: 7687368
    Abstract: A semiconductor device manufacturing method is disclosed. The method is to form a second semiconductor layer which has less susceptibility to adopting insulative characteristics than a first semiconductor layer on the first semiconductor layer. Then, grooves which expose portions of the second and first semiconductor layers are formed to extend from the upper surface of the second semiconductor layer into the first semiconductor layer. Next, portions of the first and second semiconductor layers which are exposed to the grooves are changed into an insulator form to fill the grooves with the insulator-form portions of the first semiconductor layer.
    Type: Grant
    Filed: March 25, 2005
    Date of Patent: March 30, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hirohisa Kawasaki, Kazunari Ishimaru, Kunihiro Kasai, Yasunori Okayama
  • Patent number: 7655514
    Abstract: A silicon carbide metal semiconductor field-effect transistor includes a bi-layer silicon carbide buffer for improving electron confinement in the channel region and/or a layer disposed over at least the channel region of the transistor for suppressing surface effects caused by dangling bonds and interface states. Also, a sloped MESA fabrication method which utilizes a dielectric etch mask that protects the MESA top surface during MESA processing and enables formation of sloped MESA sidewalls.
    Type: Grant
    Filed: December 13, 2007
    Date of Patent: February 2, 2010
    Assignee: Lockheed Martin Corporation
    Inventors: An-Ping Zhang, Larry B. Rowland, James W. Kretchmer, Jesse Tucker, Edmund B. Kaminsky
  • Patent number: 7625798
    Abstract: A semiconductor memory includes a plurality of memory cell transistors each having a laminated gate. A method of producing the semiconductor memory includes the steps of: forming a plurality of element separation regions for separating the memory cell transistors; forming a first conductive layer through a gate oxide film; etching the first conductive layer to form a plurality of slits; forming spacers on sidewall portions of each of the slits; forming a second conductive layer through an insulating film; etching the first conductive layer, the second conductive layer, and the insulating film using one single mask to form the laminated gate; implanting a conductive impurity into the semiconductor substrate exposed on both sides of the laminated gate to form a drain/source region; forming an interlayer insulating film; forming a contact hole penetrating the interlayer insulating film to reach the semiconductor substrate.
    Type: Grant
    Filed: December 4, 2008
    Date of Patent: December 1, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Shuichi Watanabe
  • Patent number: 7622359
    Abstract: A method for manufacturing a semiconductor device, includes: (a) forming a SiGe layer on a Si substrate; (b) forming a Si layer on the SiGe layer; (c) forming a dummy pattern made of SiGe in a dummy region of the Si substrate; and (d) wet-etching and removing the SiGe layer formed under the Si layer. In the step (d), an etchant is kept to contact the dummy pattern from before a complete remove of the SiGe layer to an end of the etching.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: November 24, 2009
    Assignee: Seiko Epson Corporation
    Inventors: Juri Kato, Kei Kanemoto
  • Patent number: 7611944
    Abstract: A method for defining patterns in an integrated circuit comprises defining a plurality of features in a first photoresist layer using photolithography over a first region of a substrate. The method further comprises using pitch multiplication to produce at least two features in a lower masking layer for each feature in the photoresist layer. The features in the lower masking layer include looped ends. The method further comprises covering with a second photoresist layer a second region of the substrate including the looped ends in the lower masking layer. The method further comprises etching a pattern of trenches in the substrate through the features in the lower masking layer without etching in the second region. The trenches have a trench width.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: November 3, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Luan C. Tran, John Lee, Zengtao “Tony” Liu, Eric Freeman, Russell Nielsen
  • Patent number: 7608506
    Abstract: A semiconductor structure for a dynamic random access memory (DRAM) cell array that includes a plurality of vertical memory cells built on a semiconductor-on-insulator (SOI) wafer and a body contact in the buried dielectric layer of the SOI wafer. The body contact electrically couples a semiconductor body with a channel region of the access device of one vertical memory cell and a semiconductor substrate of the SOI wafer. The body contact provides a current leakage path that reduces the impact of floating body effects upon the vertical memory cell. The body contact may be formed by an ion implantation process that modifies the stoichiometry of a region of the buried dielectric layer so that the modified region becomes electrically conductive with a relatively high resistance.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: October 27, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Louis Lu-Chen Hsu, Jack Allan Mandelman
  • Patent number: 7582516
    Abstract: The present invention relates to a semiconductor substrate comprising at least first and second device regions. The first device region has a substantially planar surface oriented along one of a first set of equivalent crystal planes, and the second device region contains a protruding semiconductor structure having multiple intercepting surfaces oriented along a second, different set of equivalent crystal planes. A semiconductor device structure can be formed using such a semiconductor substrate. Specifically, a first field effect transistor (FET) can be formed at the first device region, which comprises a channel that extends along the substantially planar surface of the first device region. A second, complementary FET can be formed at the second device region, while the second, complementary FET comprises a channel that extends along the multiple intercepting surfaces of the protruding semiconductor structure at the second device region.
    Type: Grant
    Filed: June 6, 2006
    Date of Patent: September 1, 2009
    Assignee: International Business Machines Corporation
    Inventors: Thomas W. Dyer, Sunfei Fang, Judson R. Holt
  • Patent number: 7544535
    Abstract: The method for manufacturing a semiconductor laser element according to the present invention has the steps of: forming a semiconductor laminated structure having an active layer composed of a semiconductor material containing Al; etching the semiconductor laminated structure to form a mesa; forming a first burying layer at a first growing temperature so as to coat the side of the mesa; and forming a second burying layer at a second growing temperature higher than the first growing temperature on the first burying layer to bury the circumference of the mesa.
    Type: Grant
    Filed: January 22, 2007
    Date of Patent: June 9, 2009
    Assignee: Mitsubishi Electric Corporation
    Inventors: Chikara Watatani, Toru Ota, Takashi Nagira
  • Patent number: 7524742
    Abstract: A process and structure for a metal interconnect includes providing a substrate with a first electric conductor, forming a first dielectric layer and a first patterned hard mask, using the first patterned hard mask to form a first opening and a second electric conductor, forming a second dielectric layer and a second patterned hard mask, using the second patterned hard mask as an etching mask and using a first patterned hard mask as an etch stop layer to form a second opening and a third electric conductor.
    Type: Grant
    Filed: May 14, 2007
    Date of Patent: April 28, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Pei-Yu Chou, Chun-Jen Huang
  • Patent number: 7521302
    Abstract: A method of manufacturing a semiconductor device providing insulation between a plurality of MOS transistors without device isolation regions. The method includes forming a first insulation layer on a substrate, exposing a portion of the substrate by etching the first insulation layer using a resist, growing an epitaxial layer on the exposed portion of the substrate, removing the patterned first insulation layer, and forming transistors on the substrate and epitaxial layer, respectively. The epitaxial layer is grown to a degree that an upper surface of the epitaxial layer is higher than that of the substrate.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: April 21, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Joon-Jin Park
  • Publication number: 20090057642
    Abstract: A memory or switching device includes a mesa and a first electrode conforming to said mesa. The device also includes a second electrode and a phase-change or switching material disposed between said first and second electrodes. The phase-change or switching material is in electrical communication with the first and second electrodes at a first contact region and a second contact region respectively. Also described is a method for making a memory or switching device. The method includes providing a first insulator and configuring the first insulator to provide a mesa. A first conductive layer is provided conforming to the mesa. A phase-change or switching material is provided over a portion of the first conductive layer, and a second conductive layer is provided over the phase-change or switching material.
    Type: Application
    Filed: September 4, 2007
    Publication date: March 5, 2009
    Applicant: Ovonyx, Inc.
    Inventors: David Sargent, Jon Maimon
  • Publication number: 20080308911
    Abstract: An object is to provide a semiconductor device with improved reliability in which a defect stemming from an end portion of a semiconductor layer provided in an island shape is prevented, and a manufacturing method thereof. Over a substrate having an insulating surface, an island-shaped semiconductor layer is formed, a first alteration treatment is performed, a first insulating film is formed on a surface of the island-shaped semiconductor layer, the first insulating film is removed, a second alteration treatment is performed on the island-shaped semiconductor from which the first insulating film is removed, a second insulating film is formed on a surface of the island-shaped semiconductor layer, and a conductive layer is formed over the second insulating film. An upper end portion of the island-shaped semiconductor layer has curvature by the first alteration treatment and the second alteration treatment.
    Type: Application
    Filed: May 23, 2008
    Publication date: December 18, 2008
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventor: Satoru OKAMOTO
  • Patent number: 7462504
    Abstract: A surface-emitting type light-emitting diode includes a substrate, a p-n junction layer elevated on a portion of the substrate to emit light, and a first isolator layer formed on a sidewall of the p-n junction layer as well as a periphery portion of a top surface of the p-n junction layer except for a central region of the top surface.
    Type: Grant
    Filed: July 18, 2006
    Date of Patent: December 9, 2008
    Assignee: LG Electronics Inc.
    Inventors: Kie Young Lee, Shi Jong Leem
  • Patent number: 7432173
    Abstract: In some methods of fabricating a silicon-on-insulator substrate, a semiconductor substrate is provided that includes a single crystalline structure within at least a defined region thereof. A first insulating film is formed on the defined region of the semiconductor substrate with an opening that exposes a portion of the defined region of the semiconductor substrate having the single crystalline structure. A first non-single crystalline film is formed on the exposed portion of the semiconductor substrate and that at least substantially fills the opening in the first insulating film. A laser beam is generated that heats the first non-single crystalline film to change the first non-single crystalline film into a first single crystalline film having substantially the same single crystalline structure as the defined region of the semiconductor substrate.
    Type: Grant
    Filed: March 12, 2007
    Date of Patent: October 7, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sungkwan Kang, Yong-Hoon Son, Jongwook Lee, Yugyun Shin
  • Publication number: 20080224258
    Abstract: Disclosed are embodiments of a semiconductor structure with fins that are positioned on the same planar surface of a wafer and that have channel regions with different heights. In one embodiment the different channel region heights are accomplished by varying the overall heights of the different fins. In another embodiment the different channel region heights are accomplished by varying, not the overall heights of the different fins, but rather by varying the heights of a semiconductor layer within each of the fins. The disclosed semiconductor structure embodiments allow different multi-gate non-planar FETs (i.e., tri-gate or dual-gate FETs) with different effective channel widths to be formed of the same wafer and, thus, allows the beta ratio in devices that incorporate multiple FETs (e.g., static random access memory (SRAM) cells) to be selectively adjusted.
    Type: Application
    Filed: May 27, 2008
    Publication date: September 18, 2008
    Applicant: International Business Machines Corporation
    Inventors: Dominic J. Schepis, Huilong Zhu
  • Patent number: 7422960
    Abstract: The invention includes methods for utilizing partial silicon-on-insulator (SOI) technology in combination with fin field effect transistor (finFET) technology to form transistors particularly suitable for utilization in dynamic random access memory (DRAM) arrays. The invention also includes DRAM arrays having low rates of refresh. Additionally, the invention includes semiconductor constructions containing transistors with horizontally-opposing source/drain regions and channel regions between the source/drain regions. The transistors can include gates that encircle at least three-fourths of at least portions of the channel regions, and in some aspects can include gates that encircle substantially an entirety of at least portions of the channel regions.
    Type: Grant
    Filed: May 17, 2006
    Date of Patent: September 9, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Mark Fischer
  • Publication number: 20080171419
    Abstract: A method for forming a substrate contact on a silicon-on-insulator (SOI) wafer is provided that can be integrated with a process for fabricating SOI devices without additional processing after wafer dicing. The method is applicable in many of the more advanced packaging technologies, e.g., such as flip chip and die stacking, directly creating a contact to silicon substrate via the front of the diced SOI wafer.
    Type: Application
    Filed: January 12, 2007
    Publication date: July 17, 2008
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Cheng-Kuo Wen, Chien-Chao Huang, Hao-Yu Chen, Fu-Liang Yang, Hsun-Chih Tsao
  • Patent number: 7381656
    Abstract: The invention relates to a method of manufacturing a semiconductor device comprising a substrate (1) and a semiconductor body (2) in which at least one semiconductor element is formed, wherein, in the semiconductor body (2), a semiconductor island (3) is formed by forming a first cavity (4) in the surface of the semiconductor body (2), the walls of said first cavity being covered with a first dielectric layer (6), after which, by means of underetching through the bottom of the cavity (4), a lateral part of the semiconductor body (2) is removed, thereby forming a cavity (20) in the semiconductor body (2) above which the semiconductor island (3) is formed, and wherein a second cavity (5) is formed in the surface of the semiconductor body (2), the walls of said second cavity being covered with a second dielectric layer, and one of the walls covered with said second dielectric layer forming a side wall of the semiconductor island (3).
    Type: Grant
    Filed: March 11, 2005
    Date of Patent: June 3, 2008
    Assignee: NXP B.V.
    Inventors: Wibo Daniel Van Noort, Eyup Aksen
  • Patent number: 7354812
    Abstract: Multiple trench depths within an integrated circuit device are formed by first forming trenches in a substrate to a first depth, but of varying widths. Formation of a dielectric layer can cause some of the trenches to fill or close off while leaving other, wider trenches open. Removal of a portion of the dielectric material can then be tailored to expose a bottom of the open trenches while leaving remaining trenches filled. Removal of exposed portions of the underlying substrate can then be used to selectively deepen the open trenches, which can subsequently be filled. Such methods can be used to form trenches of varying depths without the need for subsequent masking.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: April 8, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Shubneesh Batra, Howard C. Kirsch, Gurtej S. Sandhu, Xianfeng Zhou, Chih-Chen Cho
  • Patent number: 7351616
    Abstract: A semiconductor substrate comprising: a semiconductor base; dielectric layers of mutually different film thicknesses formed on the semiconductor base; and semiconductor layers of mutually different film thicknesses formed on the dielectric layers.
    Type: Grant
    Filed: July 28, 2005
    Date of Patent: April 1, 2008
    Assignee: Seiko Epson Corporation
    Inventor: Juri Kato
  • Patent number: 7332775
    Abstract: A protruding spacer that protrudes above the top surface of a gate electrode structure provides enhanced resistance to exposure of the gate electrode during the etch process used to form self-aligned contacts. The protruding spacer may be formed using an amorphous carbon sacrificial layer as the top layer of the patterned gate electrode structure. Dielectric spacers are formed alongside the gate electrode structure, including alongside the sacrificial amorphous carbon layer. The dielectric spacers extend substantially to the top of the amorphous carbon layer. The amorphous carbon layer is then removed such that the remaining gate structure includes dielectric spacers that have a protruding section that protrudes above the top surface of the remaining gate structure. A nitride layer may be formed over the gate structure. Such a structure prevents exposure of the gate electrode during the formation of self-aligned contacts, and shorting, once the contact openings are filled.
    Type: Grant
    Filed: October 4, 2006
    Date of Patent: February 19, 2008
    Assignee: Agere Systems Inc.
    Inventors: Kurt George Steiner, Gerald W. Gibson, Jr., Eduardo Jose Quinones
  • Patent number: 7294201
    Abstract: A crystal substrate and a crystal film of a III-V compound of the nitride system which are manufactured easily and have few dislocations as well as a method of manufacturing a crystal and a method of manufacturing a device with the use thereof are disclosed. On a basal body, formed in order are a base crystal layer of, for example, gallium nitride (GaN), a first mask pattern of, for example, silicon dioxide (SiO2), an intermediate crystal layer of, for example, gallium nitride, a second mask pattern of, for example, silicon dioxide, and a top crystal layer of, for example, gallium nitride. The first and second mask patterns have stripes arranged at least in one direction at unequally spaced intervals. The stripes are different in pitch from pattern to pattern. Thus, the mask patterns at least partly overlie one another in the direction of the thickness of the crystal layers.
    Type: Grant
    Filed: November 30, 2000
    Date of Patent: November 13, 2007
    Assignee: Sony Corporation
    Inventor: Etsuo Morita
  • Patent number: 7288828
    Abstract: A metal-oxide-semiconductor (MOS) transistor device is provided. The MOS transistor device includes a substrate, a gate structure, a spacer, a source/drain region and a barrier layer. The gate structure is disposed on the substrate. The gate structure includes a gate and a gate dielectric layer disposed between the gate and the substrate. The spacer is disposed on the sidewall of the gate structure. The source/drain region is disposed in the substrate on two sides of the spacer. The barrier layer is disposed around the source/drain region. The source/drain region and the barrier layer are fabricated using an identical material. However, the doping concentration of the source/drain region is larger than the doping concentration of the barrier layer.
    Type: Grant
    Filed: October 5, 2005
    Date of Patent: October 30, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Huan-Shun Lin, Chen-Hua Tsai, Wei-Tsun Shiau, Hsien-Liang Meng, Hung-Lin Shih
  • Patent number: 7268397
    Abstract: A fin-type field effect transistor has an insulator layer above a substrate and a fin extending above the insulator layer. The fin has a channel region, and source and drain regions. A gate conductor is positioned over the channel region. The insulator layer includes a heat dissipating structural feature adjacent the fin, and a portion of the gate conductor contacts the heat dissipating structural feature. The heat dissipating structural feature can comprise a recess within the insulator layer or a thermal conductor extending through the insulator layer.
    Type: Grant
    Filed: June 21, 2005
    Date of Patent: September 11, 2007
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Edward J. Nowak, Jed H. Rankin, William F. Clark, Jr.
  • Patent number: 7262109
    Abstract: The present invention provides an integrated circuit and a method of manufacture therefor. The integrated circuit (100), in one embodiment without limitation, includes a dielectric layer (120) located over a wafer substrate (110), and a semiconductor substrate (130) located over the dielectric layer (120), the semiconductor substrate (130) having one or more transistor devices (160) located therein or thereon. The integrated circuit (100) may further include an interconnect (180) extending entirely through the semiconductor substrate (130) and the dielectric layer (120), thereby electrically contacting the wafer substrate (110), and one or more isolation structures (150) extending entirely through the semiconductor substrate (130) to the dielectric layer (120).
    Type: Grant
    Filed: August 3, 2005
    Date of Patent: August 28, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: John Lin, Tony T. Phan, Philip L. Hower, William C. Loftin, Martin B. Mollat
  • Patent number: 7256077
    Abstract: A method of forming a semiconductor device includes forming a first layer over a semiconductor substrate and forming a second layer over the first layer. The second layer includes silicon and has an etch selectivity to the second layer that is greater than approximately 1,000. In one embodiment, the second layer is a porous material, such as porous silicon, porous silicon germanium, porous silicon carbide, and porous silicon carbon alloy. A gate insulator is formed over the second layer and a control electrode is formed over the gate insulator. The first layer is selectively removed with respect to the second layer and the semiconductor substrate.
    Type: Grant
    Filed: May 21, 2004
    Date of Patent: August 14, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Marius K. Orlowski
  • Patent number: 7247534
    Abstract: A semiconductor structure and method of manufacturing is provided. The method of manufacturing includes forming shallow trench isolation (STI) in a substrate and providing a first material and a second material on the substrate. The first material and the second material are mixed into the substrate by a thermal anneal process to form a first island and second island at an nFET region and a pFET region, respectively. A layer of different material is formed on the first island and the second island. The STI relaxes and facilitates the relaxation of the first island and the second island. The first material may be deposited or grown Ge material and the second material may deposited or grown Si:C or C. A strained Si layer is formed on at least one of the first island and the second island.
    Type: Grant
    Filed: November 19, 2003
    Date of Patent: July 24, 2007
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Omer H. Dokumaci, Oleg G. Gluschenkov
  • Patent number: 7221024
    Abstract: A chip is provided which includes an active semiconductor region and a field effect transistor (“FET”) having a channel region, a source region and a drain region all disposed within the active semiconductor region. The FET has a longitudinal direction in a direction of a length of the channel region, and a transverse direction in a direction of a width of the channel region. A first dielectric stressor element having a horizontally extending upper surface extends below a portion of the active semiconductor region, such as a northwest portion of the active semiconductor region. A second dielectric stressor element having a horizontally extending upper surface extends below a second portion of the active semiconductor region, such as a southeast portion of the active semiconductor region. Each of the first and second dielectric stressor elements shares an edge with the active semiconductor region, the edges extending in directions away from the upper surface.
    Type: Grant
    Filed: October 27, 2005
    Date of Patent: May 22, 2007
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Brian J. Green, Kern Rim
  • Patent number: 7220654
    Abstract: An epitaxial layer is formed on a high-resistance semiconductor substrate containing interstitial oxygen at a high concentration, and then a heat treatment is performed to the semiconductor substrate at a high temperature in an oxidizing atmosphere. Accordingly, a stratiform region of SiO2 is formed by deposition at an interface between the epitaxial layer and the semiconductor substrate. As a result, an apparent SOI substrate for an SOI semiconductor device can be manufactured at a low cost.
    Type: Grant
    Filed: November 20, 2003
    Date of Patent: May 22, 2007
    Assignee: Denso Corporation
    Inventors: Hiroaki Himi, Noriyuki Iwamori
  • Patent number: 7199031
    Abstract: A semiconductor system having a pn transition and a method for manufacturing a semiconductor system are disclosed. The semiconductor system is designed in the form of a chip having an edge region, the semiconductor system includes a first layer of a first conductivity type and a second layer of a second conductivity type, which is of opposite polarity to the first conductivity type. The first layer has an edge region and a center region, the pn transition being provided between the first layer and the second layer. The second layer is more weakly doped in its edge region than in its center region, and the boundary surface of the pn transition at the edge region is non-parallel to the main chip plane.
    Type: Grant
    Filed: November 19, 2002
    Date of Patent: April 3, 2007
    Assignee: Robert Bosch GmbH
    Inventors: Maria Del Rocio Martin Lopez, Richard Spitz, Alfred Goerlach, Barbara Will
  • Patent number: 7109072
    Abstract: The silicon wires formed around metal particles by crystal growth have the problem of metal pollution. For its solution, in the present invention, a silicon bridge is formed through standard silicon processes such as the lithography and the wet etching using hydrofluoric acid performed to an SOI substrate. Thereafter, a thermal oxide film is desirably formed at a high temperature to form a high-quality gate insulating film. It is also desirable to form a coaxial gate electrode. Then, after burying the bridge sections of the silicon bridge in a resist film, the silicon on the bridge girders is removed, and thereafter, the silicon wires buried in the resist film are collected. In this manner, the silicon wires can be collected without dispersing into the hydrofluoric acid solution. Then, a transistor using the silicon wires as a channel is formed.
    Type: Grant
    Filed: March 7, 2005
    Date of Patent: September 19, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Shinichi Saito, Tadashi Arai, Seong-Kee Park, Toshiyuki Mine
  • Patent number: 7087499
    Abstract: A method is described for fabricating and antifuse structure (100) integrated with a semiconductor device such as a FINFET or planar CMOS devise. A region of semiconducting material (11) is provided overlying an insulator (3) disposed on a substrate (10); an etching process exposes a plurality of corners (111–114) in the semiconducting material. The exposed corners are oxidized to form elongated tips (111t–114t) at the corners; the oxide (31) overlying the tips is removed. An oxide layer (51), such as a gate oxide, is then formed on the semiconducting material and overlying the corners; this layer has a reduced thickness at the corners. A layer of conducting material (60) is formed in contact with the oxide layer (51) at the corners, thereby forming a plurality of possible breakdown paths between the semiconducting material and the layer of conducting material through the oxide layer.
    Type: Grant
    Filed: December 20, 2002
    Date of Patent: August 8, 2006
    Assignee: International Business Machines Corporation
    Inventors: Jed H. Rankin, Wagdi W. Abadeer, Jeffrey S. Brown, William R. Tonti
  • Patent number: 7084044
    Abstract: The present invention provides an optoelectronic device and a method of manufacture thereof. In one embodiment, the method of manufacturing the optoelectronic device may include creating a multilayered optical substrate and then forming a self aligned dual mask over the multilayered optical substrate. The method may further include etching the multilayered optical substrate through the self aligned dual mask to form a mesa structure.
    Type: Grant
    Filed: June 7, 2004
    Date of Patent: August 1, 2006
    Assignee: TriQuint Technology Holding Co.
    Inventors: Charles W. Lentz, Bettina A. Nechay, Abdallah Ougazzaden, Padman Parayanthal, George J. Przybylek
  • Patent number: 7078278
    Abstract: A dual-metal CMOS arrangement and method of making the same provides a substrate and a plurality of NMOS devices and PMOS devices formed on the substrate. Each of the plurality of NMOS devices and PMOS devices have gate electrodes. Each NMOS gate electrode includes a first silicide region on the substrate and a first metal region on the first silicide region. The first silicide region of the NMOS gate electrode consists of a first silicide having a work function that is close to the conduction band of silicon. Each of the PMOS gate electrodes includes a second silicide region on the substrate and a second metal region on the second silicide region. The second silicide region of the PMOS gate electrode consists of a second silicide having a work function that is close to the valence band of silicon.
    Type: Grant
    Filed: April 28, 2004
    Date of Patent: July 18, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: James Pan, Ming-Ren Lin
  • Patent number: 7064414
    Abstract: A structure and associated method for annealing a trapped charge from a semiconductor device. The semiconductor structure comprises a substrate and a first heating element. The substrate comprises a bulk layer, an insulator layer and a device layer. The first heating element is formed within the bulk layer. A first side of the first heating element is adjacent to a first portion of the insulator layer. The first heating element is adapted to be selectively activated to generate thermal energy to heat the first portion of the insulator layer and anneal a trapped electrical charge from the first portion of the insulator layer.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: June 20, 2006
    Assignee: International Business Machines Corporation
    Inventors: John M Aitken, Ethan H. Cannon, Philip J. Oldiges, Alvin W. Strong
  • Patent number: 7060544
    Abstract: A fabricating method of a thin film transistor includes forming an active layer of polycrystalline silicon, forming a first insulating layer on the active layer, forming a gate electrode on the first insulating layer over the active layer, doping side portions of the active layer with impurities, applying a small amount of metal to the side portions of the active layer and activating the side portions of the active layer such that the small amount of metal is adsorbed into the active layer.
    Type: Grant
    Filed: December 12, 2002
    Date of Patent: June 13, 2006
    Assignee: LG.Philips LCD Co., Ltd.
    Inventors: Hae-Yeol Kim, Jong-Uk Bae, Binn Kim
  • Patent number: 7049209
    Abstract: Methods of de-fluorinating a wafer surface after damascene processing and prior to photoresist removal are disclosed, as is a related structure. In one embodiment, the method places the wafer surface in a chamber and exposes the wafer surface to a plasma from a source gas including at least one of nitrogen (N2) and/or hydrogen (H2) at a low power density or ion density. The exposing step removes the chemisorbed and physisorbed fluorine residue present on the wafer surface (and chamber), and improves ultra low dielectric (ULK) interconnect structure robustness and integrity. The exposing step is operative due to the efficacy of hydrogen and nitrogen radicals at removing fluorine-based species and also due to the presence of a minimal amount of ion energy in the plasma. The low power density nitrogen and/or hydrogen-containing plasma process enables negligible ash/adhesion promoter interaction and reduces integration complexity during dual damascene processing of low-k OSG-based materials.
    Type: Grant
    Filed: April 1, 2005
    Date of Patent: May 23, 2006
    Assignee: International Business Machines Corporation
    Inventors: Timothy J. Dalton, Nicholas C. M. Fuller, Kaushik A. Kumar, Catherine Labelle
  • Patent number: 7001822
    Abstract: In a semiconductor device having an SOI structure and a method of manufacturing the same, influence by a parasitic transistor can be prevented, and no disadvantage is caused in connection with a manufacturing process. In this semiconductor device, an upper side portion of a semiconductor layer is rounded. Thereby, concentration of an electric field at the upper side portion of the semiconductor layer can be prevented. As a result, lowering of a threshold voltage of a parasitic transistor can be prevented, so that the parasitic transistor does not adversely affect subthreshold characteristics of a regular transistor. Owing to provision of a concavity of a U-shaped section, generation of etching residue can be prevented when etching a gate electrode for patterning the same. Thereby, a disadvantage is not caused in connection with the manufacturing process.
    Type: Grant
    Filed: October 10, 2003
    Date of Patent: February 21, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Toshiaki Iwamatsu, Yasuo Yamaguchi, Shigenobu Maeda, Shoichi Miyamoto, Akihiko Furukawa, Yasuo Inoue
  • Patent number: 6969627
    Abstract: The specification discloses a light-emitting diode and the corresponding manufacturing method. A GaN thick film with a slant surface is formed on the surface of a substrate. An epitaxial slant surface is naturally formed using the properties of the GaN epitaxy. An LED structure is grown on the GaN thick film to form an LED device. This disclosed method and device can simplify the manufacturing process. The invention further uses the GaN thick film epitaxial property to make various kinds of LED chips with multiple slant surfaces and different structures. Since the surface area for emitting light on the chip increases and the multiple slant surfaces reduce the chances of total internal reflections, the light emission efficiency of the invention is much better than the prior art.
    Type: Grant
    Filed: May 13, 2003
    Date of Patent: November 29, 2005
    Assignee: Industrial Technology Research Institute
    Inventors: Shyi-Ming Pan, Jenq-Dar Tsay, Ru-Chin Tu, Jung-Tsung Hsu
  • Patent number: 6921940
    Abstract: A MOS transistor suitable for microscopic applications and a fabrication method thereof are disclosed. The fabrication method includes forming a trench by selectively etching a semiconductor substrate; forming a channel region consisting of a silicon layer with a predetermined width in the bottom of the trench and forming a gate oxide film on the channel region; forming a SiGe film on the gate oxide film and within the trench and burying the trench; forming a gate groove with a predetermined width to expose the gate oxide film by selectively etching the SiGe film; and forming a gate electrode by forming a silicon layer on the exposed gate oxide film such that the gate groove is buried.
    Type: Grant
    Filed: December 3, 2003
    Date of Patent: July 26, 2005
    Assignee: Dongbuanam Semiconductor Inc.
    Inventor: Kwan-Ju Koh
  • Patent number: 6919258
    Abstract: A semiconductor device includes a single crystal substrate and a dielectric layer overlying the substrate. The dielectric layer includes at least one opening having a first portion and an overlying second portion. The first portion has a depth and width, such that an aspect ratio of the depth to width is greater than one. The semiconductor device further includes a first material having a first portion and a second portion, the first portion of the first material filling the first portion of the at least one opening. Defects for relaxing strain at an interface between the first material and the substrate material exist only within the first portion of the first material due to the aspect ratio being greater than one. The second portion of the first material is substantially defect free. Furthermore, the second portion of the first material and an overlying second material different than the first material fill the overlying second portion of the at least one opening.
    Type: Grant
    Filed: October 2, 2003
    Date of Patent: July 19, 2005
    Assignee: Freescale Semiconductor, Inc.
    Inventors: John M. Grant, Tab A. Stephens
  • Patent number: 6911370
    Abstract: A non-volatile memory device includes a substrate having a first active region and a second active region. A first floating gate is provided over the first active region and having an edge, the first floating gate being made of a conductive material. A first spacer is connected to the edge of the first floating gate and being made of the same conductive material as that of the first floating gate. A control gate is provided proximate to the floating gate.
    Type: Grant
    Filed: May 6, 2003
    Date of Patent: June 28, 2005
    Assignee: Hynix Semiconductor, Inc.
    Inventors: Hsingya Arthur Wang, Kai-Cheng Chou, Peter Rabkin
  • Patent number: 6894368
    Abstract: A microelectronic device fabricating method includes providing a substrate having a mean global outer surface extending along a plane. A first portion is formed over the substrate comprising a straight linear segment which is angled from the plane and forming a second portion over the substrate comprising a straight linear segment which is angled from the plane at a different angle than the first portion. A layer of structural material is formed over the first and second portions. The structural material layer is anisotropically etched and a first device feature is ultimately left over the first portion having a first base width and a second device feature is ultimately left over the second portion having a second base width which is different from the first base width. Integrated circuitry includes a substrate having a mean global outer surface extending along a plane.
    Type: Grant
    Filed: January 27, 2004
    Date of Patent: May 17, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Alan R. Reinberg
  • Patent number: 6890793
    Abstract: A method for producing a die package is disclosed. A bumped die comprises solder bumps mounted to a leadframe including a first lead comprising a first locating hole and a second lead comprising a second locating hole. The solder bumps are present in the first and second locating holes, and a molding material is formed around the die.
    Type: Grant
    Filed: April 17, 2003
    Date of Patent: May 10, 2005
    Assignee: Fairchild Semiconductor Corporation
    Inventor: Inderjit Singh
  • Patent number: 6878594
    Abstract: A semiconductor device having a self-aligned contact hole is formed by providing a side wall oxide film on a gate electrode, covering the gate electrode and the side wall oxide film by an oxide film and further covering the oxide film by a nitride film, wherein the oxide film is formed by a plasma CVD process with a reduced plasma power such that the H2O content in the oxide film is less than about 2.4 wt %.
    Type: Grant
    Filed: March 20, 1998
    Date of Patent: April 12, 2005
    Assignee: Fujitsu Limited
    Inventors: Kousuke Suzuki, Katsuyuki Karakawa
  • Patent number: 6864149
    Abstract: A semiconductor-on-insulator structure includes a substrate and a buried insulator layer overlying the substrate. A plurality of semiconductor islands overlie the buried insulator layer. The semiconductor islands are isolated from one another by trenches. A plurality of recess resistant regions overlie the buried insulator layer at a lower surface of the trenches.
    Type: Grant
    Filed: May 9, 2003
    Date of Patent: March 8, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Yee-Chia Yeo, Hao-Yu Chen, Hsun-Chih Tsao, Fu-Liang Yang, Chenming Hu
  • Publication number: 20040217437
    Abstract: A method of forming a semiconductor device so as to provide the device inverted isolation trenches with convex sidewalls. Initially, a plurality of composite isolation posts (50, 51) are formed on a substrate (40) through successive deposition, lithography, and etching steps. The posts comprise a bottom layer (501, 502) of silicon dioxide and an overlying etch-stop layer of silicon nitride (502, 512). An insulating material (60) is then deposited over the isolation posts and areas of the substrate. Isolation structures (70,71) are established by etching the insulating material to form convex sidewall spacers (701,702, 711, 712) at the vertical walls of the isolation posts. Active areas (80) between spacers are filled with semiconductor material. In an embodiment, a strained cap layer (101) may be imposed on the active areas. The strained cap layer has a lattice constant that is different from the lattice constant of the semiconductor material.
    Type: Application
    Filed: April 30, 2003
    Publication date: November 4, 2004
    Inventors: Andrea Franke, Jonathan Cobb, John M. Grant, Al T. Koh, Yeong-Jyh T. Lii, Bich-Yen Nguyen, Anna M. Phillips