Implanting To Form Insulator Patents (Class 438/423)
  • Patent number: 7250351
    Abstract: Enhanced silicon-on-insulator transistors and methods are provided for implementing enhanced silicon-on-insulator transistors. The enhanced silicon-on-insulator (SOI) transistors include a thin buried oxide (BOX) layer under a device channel and a thick self-aligned buried oxide (BOX) region under SOI source/drain diffusions. A selective epitaxial growth is utilized in the source/drain regions to implement appropriate strain to enhance both PFET and NFET devices simultaneously.
    Type: Grant
    Filed: April 14, 2005
    Date of Patent: July 31, 2007
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Carl John Radens, William Robert Tonti, Richard Quimby Williams
  • Patent number: 7229894
    Abstract: An active cell isolation body of a semiconductor device and a method for forming the same are disclosed. An example active cell isolation body of a semiconductor device includes a trench with a depth in a semiconductor substrate at an active cell isolation region, a buried gap in the semiconductor substrate at a lower portion of the active cell isolation region, where the buried gap is in communication with the trench and extended toward active regions of the semiconductor substrate, and an active cell isolation film filled in the trench to close the buried gap.
    Type: Grant
    Filed: December 28, 2004
    Date of Patent: June 12, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Kwan Joo Koh
  • Patent number: 7217589
    Abstract: A barrier implanted region of a first conductivity type located below an isolation region of a pixel sensor cell and spaced from a doped region of a second conductivity type of a photodiode of the pixel sensor cell is disclosed. The barrier implanted region is formed by conducting a plurality of deep implants at different energies and doping levels below the isolation region. The deep implants reduce surface leakage and dark current and increase the capacitance of the photodiode by acting as a reflective barrier to electrons generated by light in the doped region of the second conductivity type of the photodiode.
    Type: Grant
    Filed: December 13, 2004
    Date of Patent: May 15, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Howard Rhodes, Chandra Mouli
  • Patent number: 7214586
    Abstract: A method of fabricating nonvolatile memory devices. The method includes forming a tunnel oxide layer, a stacked oxide layer, a polysilicon layer for a control gate, a buffer oxide layer and a buffer nitride layer in order on the entire surface of a semiconductor substrate, and patterning the substrate vertically to form a control gate and a first device isolation region. The method also includes implanting ions into the first device isolation region to form common source and drain regions, filling the gap of the first device isolation region to form a first device isolation structure, and removing the buffer nitride layer and the buffer oxide layer. The method further includes depositing polysilicon for a word line on the substrate, and patterning the substrate vertically to form the word line and a second device isolation region, forming sidewall spacers on the sidewalls of the control gate and the word line, and forming silicide on the word line.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: May 8, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jin Hyo Jung
  • Patent number: 7186626
    Abstract: A method for controlling dislocation position in a silicon germanium buffer layer located on a substrate includes depositing a strained silicon germanium layer on the substrate and irradiating one or more regions of the silicon germanium layer with a dislocation inducing agent. The dislocation inducing agent may include ions, electrons, or other radiation source. Dislocations in the silicon germanium layer are located in one or more of the regions. The substrate and strained silicon germanium layer may then be subjected to an annealing process to transform the strained silicon germanium layer into a relaxed state. A top layer of strained silicon or silicon germanium may be deposited on the relaxed silicon germanium layer. Semiconductor-based devices may then be fabricated in the non-damaged regions of the strained silicon or silicon germanium layer. Threading dislocations are confined to damaged areas which may be transformed into SiO2 isolation regions.
    Type: Grant
    Filed: July 22, 2005
    Date of Patent: March 6, 2007
    Assignee: The Regents of the University of California
    Inventors: Ya-Hong Xie, Tae-Sik Yoon
  • Patent number: 7183172
    Abstract: A method of forming an SOI semiconductor substrate and the SOI semiconductor substrate formed thereby, is provided. The method includes forming sequentially buried oxide, diffusion barrier and SOI layers on a semiconductor substrate. The diffusion barrier layer is formed by an insulating layer having a lower impurity diffusion coefficient as compared with the buried oxide layer. The diffusion barrier layer serves to prevent impurities implanted into the SOI layer from being diffused into the buried oxide layer or the semiconductor substrate.
    Type: Grant
    Filed: March 26, 2003
    Date of Patent: February 27, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-Il Lee, Geum-Jong Bae, Ki-Chul Kim, Hwa-Sung Rhee, Sang-Su Kim
  • Patent number: 7173260
    Abstract: An ion implanter having a source, a workpiece support and a transport system for delivering ions from the source to an ion implantation chamber that contains the workpiece support. The implanter includes one or more removable inserts mounted to an interior of either the transport system or the ion implantation chamber for collecting material entering either the transport system or the ion implantation chamber due to collisions between ions and the workpiece within the ion implantation chamber during ion processing of the workpiece. A temperature control coupled to the one or more removable inserts for maintaining the temperature of the insert at a controlled temperature to promote formation of a film on said insert during ion treatment due to collisions between ions and said workpiece.
    Type: Grant
    Filed: December 22, 2004
    Date of Patent: February 6, 2007
    Assignee: Axcelis Technologies, Inc.
    Inventors: Maria J. Anc, Dale K. Stone, Christopher T. Reddy
  • Patent number: 7163877
    Abstract: A method and system for modifying a gate dielectric stack by exposure to a plasma. The method includes providing the gate dielectric stack having a high-k layer formed on a substrate, generating a plasma from a process gas containing an inert gas and one of an oxygen-containing gas or a nitrogen-containing gas, where the process gas pressure is selected to control the amount of neutral radicals relative to the amount of ionic radicals in the plasma, and modifying the gate dielectric stack by exposing the stack to the plasma.
    Type: Grant
    Filed: August 18, 2004
    Date of Patent: January 16, 2007
    Assignees: Tokyo Electron Limited, Texas Instruments, Inc.
    Inventors: Hiroaki Niimi, Luigi Colombo, Koji Shimomura, Takuya Sugawara, Tatsuo Matsudo
  • Patent number: 7151042
    Abstract: A method of improving flash memory performance. The method includes: providing a substrate having a gate structure thereon, the gate structure having a gate dielectric layer, a first polysilicon layer, an interploy dielectric layer, and a second polysilicon layer; then, depositing an gate insulating layer to enclose the gate structure, for forming side wall spacers; next, performing a first anneal on the substrate and the enclosed gate structure; then, performing a cell reoxidation on the substrate and the enclosed gate structure by dilute oxidation process using mixed gas comprising oxygen O2 and nitrogen N2. The invention reduces encroachment issues in the interpoly dielectric layer and the tunnel oxide and improves gate coupling ratio (GCR).
    Type: Grant
    Filed: February 2, 2005
    Date of Patent: December 19, 2006
    Assignee: Macronix International Co., Ltd.
    Inventors: Pei-Ren Jeng, Hsuan-Ling Kao
  • Patent number: 7118978
    Abstract: A method for producing a semiconductor device with an SOI substrate having a support substrate 1 and a semiconductor layer 3 that interpose a first insulating film 2 between them includes the following steps. An element region and an element-separation region 4 are formed in the semiconductor layer 3. A gate insulating film 5 is formed on the semiconductor layer 3. A gate electrode 6 is formed on the gate insulating film 5. A second insulating film 7 is formed. The gate insulating film 5 is removed. First thickness adjustment is performed. Ion implantation introducing low concentration impurities is performed on the thickness-adjusted semiconductor layers 3 and 8. A first sidewall portion 7a is formed on the side surfaces of the gate electrode 6. A second sidewall portion 10a is formed on the side surfaces of the first sidewall portion 7a.
    Type: Grant
    Filed: November 16, 2004
    Date of Patent: October 10, 2006
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Tomohiro Okamura
  • Patent number: 7112509
    Abstract: The present invention provides a method for generating silicon-on-insulator (SOI) wafers that exhibit a high electrical resistivity. In one embodiment of a method according to the teachings of the invention, a SIMOX process is sandwiched between two Full Oxygen Precipitation (FOP) cycles that sequester interstitial oxygen present in the substrate in the form of oxide precipitates, thereby enhancing the electrical resistivity of the susbtrate.
    Type: Grant
    Filed: May 9, 2003
    Date of Patent: September 26, 2006
    Assignees: Ibis Technology Corporation, SEH America, Inc.
    Inventors: Yuri Erokhin, Okeg V. Konochuk
  • Patent number: 7112482
    Abstract: A method of forming a field effect transistor includes forming a channel region within bulk semiconductive material of a semiconductor substrate. Source/drain regions are formed on opposing sides of the channel region. An insulative dielectric region is formed within the bulk semiconductive material proximately beneath at least one of the source/drain regions. A method of forming a field effect transistor includes providing a semiconductor-on-insulator substrate, said substrate comprising a layer of semiconductive material formed over a layer of insulative material. All of a portion of the semiconductive material layer and all of the insulative material layer directly beneath the portion are removed thereby creating a void in the semiconductive material layer and the insulative material layer. Semiconductive channel material is formed within the void. Opposing source/drain regions are provided laterally proximate the channel material. A gate is formed over the channel material.
    Type: Grant
    Filed: July 28, 2004
    Date of Patent: September 26, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Todd R. Abbott, Zhongze Wang, Jigish D. Trivedi, Chih-Chen Cho
  • Patent number: 7105426
    Abstract: A semiconductor substrate is provided, and at least one first mask is formed above the semiconductor substrate. The first mask has a plurality of thicknesses and blocks at least one semi-insulating region. A second mask is thereafter formed on a surface of the semiconductor substrate. The second mask covers the semi-insulating region. The semi-insulating region is implanted with a high energy beam of particles by utilizing the second mask and the first mask as particle hindering masks. Finally, the second mask is removed.
    Type: Grant
    Filed: April 15, 2005
    Date of Patent: September 12, 2006
    Assignee: United Microelectronics Corp.
    Inventors: Joey Lai, Water Lur
  • Patent number: 7087500
    Abstract: A memory cell includes a channel region between source/drain regions at the top side of a semiconductor body and is provided, transversely with respect to the longitudinal direction, with a bulge formed in the semiconductor material. This results in a uniform distribution of the strength of a radially directed electric field and avoids field strength spikes at lateral edges of the channel region. A storage layer sequence is situated between the channel region and the gate electrode as part of a word line.
    Type: Grant
    Filed: July 19, 2004
    Date of Patent: August 8, 2006
    Assignee: Infineon Technologies AG
    Inventors: Frank Lau, Josef Willer
  • Patent number: 7067410
    Abstract: The present invention provides a technique for forming a metal silicide, such as a cobalt disilicide, even at extremely scaled device dimensions without unduly degrading the film integrity of the metal silicide. To this end, an ion implantation may be performed, advantageously with silicon, prior to a final anneal cycle, thereby correspondingly modifying the grain structure of the precursor of the metal silicide.
    Type: Grant
    Filed: April 29, 2004
    Date of Patent: June 27, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Karsten Wieczorek, Thorsten Kammler, Manfred Horstmann
  • Patent number: 7064387
    Abstract: A silicon-on-insulator (SOI) substrate includes a silicon substrate including an active region defined by a field region that surrounds the active region for device isolation. The field region includes a first oxygen-ion-injected isolation region and a second oxygen-ion-injected isolation region. The first oxygen-ion-injected isolation region has a first thickness and is disposed under the active region, a center of the first oxygen-ion-injected isolation region being at a first depth from a top surface of the silicon substrate. The second oxygen-ion-injected isolation region has a second thickness that is greater than the first thickness, the second oxygen-ion-injected isolation region disposed at sides of the active region and formed from a ton surface of the silicon substrate, a center of the second oxygen-ion-injected region disposed at a second depth from the top surface of the silicon substrate.
    Type: Grant
    Filed: June 22, 2004
    Date of Patent: June 20, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Tae-Ho Jang
  • Patent number: 7056841
    Abstract: A method for fabricating a semiconductor device for reducing coupling noise resulting from high integration of devices, comprises the steps of forming a plurality of metal wiring leads spaced from each other by a predetermined distance and arranged on a semiconductor substrate having a predetermined under layer; forming an insulating interlayer on an entire surface of the semiconductor substrate so that the metal wiring leads are covered with the insulating interlayer; and ion-implanting conductive impurities having a plurality opposite to each other into side end layers of the insulating interlayer disposed between the metal wiring leads so as to reduce the internal charges electrified due to an applied external electric field.
    Type: Grant
    Filed: June 29, 2004
    Date of Patent: June 6, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventor: Kang Tae Park
  • Patent number: 7049209
    Abstract: Methods of de-fluorinating a wafer surface after damascene processing and prior to photoresist removal are disclosed, as is a related structure. In one embodiment, the method places the wafer surface in a chamber and exposes the wafer surface to a plasma from a source gas including at least one of nitrogen (N2) and/or hydrogen (H2) at a low power density or ion density. The exposing step removes the chemisorbed and physisorbed fluorine residue present on the wafer surface (and chamber), and improves ultra low dielectric (ULK) interconnect structure robustness and integrity. The exposing step is operative due to the efficacy of hydrogen and nitrogen radicals at removing fluorine-based species and also due to the presence of a minimal amount of ion energy in the plasma. The low power density nitrogen and/or hydrogen-containing plasma process enables negligible ash/adhesion promoter interaction and reduces integration complexity during dual damascene processing of low-k OSG-based materials.
    Type: Grant
    Filed: April 1, 2005
    Date of Patent: May 23, 2006
    Assignee: International Business Machines Corporation
    Inventors: Timothy J. Dalton, Nicholas C. M. Fuller, Kaushik A. Kumar, Catherine Labelle
  • Patent number: 7037768
    Abstract: An integrated circuit device structure can be formed by forming an implant mask having a window therein on a structure including upper and lower Si layers and an intermediate SiGex layer therebetween. Ions are implanted through the upper Si layer and into a portion of the intermediate SiGex layer exposed through the window in the implant mask and blocking implantation of ions into portions of the intermediate SiGex layer outside the window. The portions of the intermediate SiGex layer outside the window are etched and the portion of the intermediate SiGex layer exposed through the window having ions implanted therein is not substantially etched to form a patterned intermediate SiGex layer.
    Type: Grant
    Filed: July 2, 2004
    Date of Patent: May 2, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Eun-jung Yun, Sung-young Lee, Chang-sub Lee, Sung-min Kim, Dong-gun Park
  • Patent number: 7015111
    Abstract: A sidewall oxidation process for use during the formation of a transistor such as a flash memory cell allows for improved control of a gate oxide profile. The method comprises doping transistor source and drain regions to different doping levels, then performing a transistor sidewall oxidation using a particular process to modify the gate oxide thickness. The oxide forms at a faster rate along the source sidewall than along the drain sidewall. By using ranges within the oxidation environment described, a source side gate oxide having a variable and selectable thickness may be formed, while forming a drain-side oxide which has a single thickness where a thinner layer is desirable. This leads to improved optimization of key competing requirements of a flash memory cell, such as program and erase performance, while maintaining sufficient long-term data retention. The process may allow improved cell scalability, shortened design time, and decreased manufacturing costs.
    Type: Grant
    Filed: October 28, 2003
    Date of Patent: March 21, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Paul J. Rudeck, Don C. Powell
  • Patent number: 7008854
    Abstract: A method for forming a semiconductor on insulator structure includes forming a semiconductor layer on an insulating substrate, where the substrate is a different material than the semiconductor layer, and has a coefficient of thermal expansion substantially equal to that of the semiconductor layer. The semiconductor layer can also be formed having a thickness such that, it does not yield due to temperature-induced strain at device processing temperatures. A silicon layer bonded to a silicon oxycarbide glass substrate provides a silicon on insulator wafer in which circuitry for electronic devices is fabricated.
    Type: Grant
    Filed: May 21, 2003
    Date of Patent: March 7, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Patent number: 7008852
    Abstract: A process for forming at least one interface region between two regions of semiconductor material. At least one region of dielectric material comprising nitrogen is formed in the vicinity of at least a portion of a boundary between the two regions of semiconductor material, thereby controlling electrical resistance at the interface.
    Type: Grant
    Filed: December 2, 2004
    Date of Patent: March 7, 2006
    Assignee: International Business Machines Corporation
    Inventors: Arne W. Ballantine, Douglas D. Coolbaugh, Jeffrey Gilbert, Joseph R. Greco, Glenn R. Miller
  • Patent number: 6998353
    Abstract: The present invention provides methods and system for forming a buried oxide layer (BOX) region in a semiconductor substrate, such as, a silicon wafer. In one aspect, in a method of the invention, an initial dose of oxygen ions is implanted in the substrate while maintaining the substrate temperature in a range of about 300° C. to 600° C. Subsequently, a second dose of oxygen ions is implanted in the substrate while actively cooling the substrate to maintain the substrate temperature in range of about 50° C. to 150° C. These ion implantation steps are followed by an annealing step in an oxygen containing atmosphere to form a continuous BOX region in the substrate. In one preferred embodiment, the initial ion implantation step is performed in a chamber that includes a device for heating the substrate while the second ion implantation step is performed in a separate chamber that is equipped with a device for actively cooling the substrate.
    Type: Grant
    Filed: November 5, 2001
    Date of Patent: February 14, 2006
    Assignee: Ibis Technology Corporation
    Inventors: Yuri Erokhin, Julian G. Blake
  • Patent number: 6989315
    Abstract: An ion implantation system for producing silicon wafers having relatively low defect densities, e.g., below about 1×106/cm2, includes a fluid port in the ion implantation chamber for introducing a background gas into the chamber during the ion implantation process. The introduced gas, such as water vapor, reduces the defect density of the top silicon layer that is separated from the buried silicon dioxide layer.
    Type: Grant
    Filed: June 19, 2001
    Date of Patent: January 24, 2006
    Assignee: Ibis Technology, Inc.
    Inventors: Robert Dolan, Bernhard Cordts, Marvin Farley, Geoffrey Ryding
  • Patent number: 6972429
    Abstract: A method of fabricating a chalcogenide random access memory (CRAM) is provided. The method is to provide a substrate having a bottom electrode thereon and then form a chalcogenide film and a patterned mask corresponding to the bottom electrode sequentially over the substrate. Thereafter, using the patterned mask, an ion implantation is performed to convert a portion of the chalcogenide film into a modified region while the chalcogenide film underneath the patterned mask is prevented from receiving any dopants and hence is kept as a non-modified region. The modified region has a lower conductivity than the non-modified region. After that, the patterned mask is removed and then a top electrode is formed over the non-modified region. Utilizing the ion implantation as a modifying treatment, the contact area between the chalcogenide film and the bottom electrode is decreased and the operating current of the CRAM is reduced.
    Type: Grant
    Filed: December 16, 2004
    Date of Patent: December 6, 2005
    Assignee: MACRONIX International Co, Ltd.
    Inventors: Ming-Hsiang Hsueh, Shih-Hong Chen
  • Patent number: 6962855
    Abstract: A material layer containing impurities that react with water molecules is formed on a substrate. The material layer is then heated under a pressure exceeding one atmosphere and in the presence of water vapor to generate pores in the material layer. The material layer may form the interlayer insulating layer of a semiconductor device.
    Type: Grant
    Filed: October 31, 2003
    Date of Patent: November 8, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Sung Kim, Young-Nam Kim, Hyun-Dam Jeong, Sun-Young Lee
  • Patent number: 6946358
    Abstract: The present invention provides a cost effective and simple method of forming isolation regions, such as shallow trench isolation regions, in a semiconductor substrate that avoids etching into the trench. In the present invention, the isolation regions are formed by utilizing a selective ion implantation process that creates an oxygen implant region near the upper surface of the substrate. Upon a subsequent anneal step, the oxygen implant region is converted into an isolation region that has an upper surface that is substantially coplanar with the upper surface of the substrate.
    Type: Grant
    Filed: May 30, 2003
    Date of Patent: September 20, 2005
    Assignee: International Business Machines Corporation
    Inventors: Bruce B. Doris, Mark C. Hakey, Akihisa Sekiguchi
  • Patent number: 6939771
    Abstract: A process for forming at least one interface region between two regions of semiconductor material. At least one region of dielectric material comprising nitrogen is formed in the vicinity of at least a portion of a boundary between the two regions of semiconductor material, thereby controlling electrical resistance at the interface.
    Type: Grant
    Filed: September 4, 2003
    Date of Patent: September 6, 2005
    Assignee: International Business Machines Corporation
    Inventors: Arne W. Ballantine, Douglas D. Coolbaugh, Jeffrey Gilbert, Joseph R. Greco, Glenn R. Miller
  • Patent number: 6900111
    Abstract: A method for forming a reliable and ultra-thin oxide layer, such as a gate oxide layer of an MOS transistor, comprises an annealing step immediately performed prior to oxidizing a substrate. The annealing step is performed in an inert gas ambient to avoid oxidation of the semiconductor surface prior to achieving a required low oxidizing temperature. Preferably, the annealing step and the oxidizing step are carried out as an in situ process, thereby minimizing the thermal budget of the overall process.
    Type: Grant
    Filed: April 19, 2002
    Date of Patent: May 31, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Karsten Wieczorek, Stephan Krügel, Falk Graetsch
  • Patent number: 6869891
    Abstract: A method for forming a plurality of grooves of a semiconductor device having of a plurality of MOS transistors is provided. A plurality of photoresist patterns are formed on a semiconductor substrate. Ions are implanted on a portion of the semiconductor substrate using the plurality of photoresist patterns as a mask. The plurality of photoresist patterns are removed. An oxide layer is formed on the semiconductor substrate having the implanted ions by thermal oxidation. The plurality of grooves are formed on the semiconductor substrate by removing the oxide layer.
    Type: Grant
    Filed: April 22, 2002
    Date of Patent: March 22, 2005
    Assignee: Samsung Electronics, Co., LTD
    Inventor: Nak-jin Son
  • Publication number: 20040266129
    Abstract: Disclosed herein is a method of providing improved electrical isolation in a separation by ion implanted oxide (SIMOX) process of making an SOI wafer. The method includes implanting ions into a substrate in a base dose implant conducted at a first energy level, implanting ions into the substrate at a second energy level in a second implant while the substrate is held at room temperature, and annealing the substrate to cause the implanted ions to be redistributed throughout the buried oxide (BOX) layer of the SOI wafer.
    Type: Application
    Filed: June 27, 2003
    Publication date: December 30, 2004
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Joel P. DeSouza, Harold J. Hovel, Junedong Lee, Siegfried L. Maurer, Devendra K. Sadana, Dominic Schepis, Ghavam Shahidi, Neena Garg
  • Publication number: 20040241955
    Abstract: The present invention provides a cost effective and simple method of forming isolation regions, such as shallow trench isolation regions, in a semiconductor substrate that avoids etching into the trench. In the present invention, the isolation regions are formed by utilizing a selective ion implantation process that creates an oxygen implant region near the upper surface of the substrate. Upon a subsequent anneal step, the oxygen implant region is converted into an isolation region that has an upper surface that is substantially coplanar with the upper surface of the substrate.
    Type: Application
    Filed: May 30, 2003
    Publication date: December 2, 2004
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Bruce B. Doris, Mark C. Hakey, Akihisa Sekiguchi
  • Publication number: 20040232488
    Abstract: A method for forming a semiconductor on insulator structure includes forming a semiconductor layer on an insulating substrate, where the substrate is a different material than the semiconductor layer, and has a coefficient of thermal expansion substantially equal to that of the semiconductor layer. The semiconductor layer can also be formed having a thickness such that, it does not yield due to temperature-induced strain at device processing temperatures. A silicon layer bonded to a silicon oxycarbide glass substrate provides a silicon on insulator wafer in which circuitry for electronic devices is fabricated.
    Type: Application
    Filed: May 21, 2003
    Publication date: November 25, 2004
    Applicant: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Publication number: 20040224477
    Abstract: The present invention provides a method for generating silicon-on-insulator (SOI) wafers that exhibit a high electrical resistivity. In one embodiment of a method according to the teachings of the invention, a SIMOX process is sandwiched between two Full Oxygen Precipitation (FOP) cycles that sequester interstitial oxygen present in the substrate in the form of oxide precipitates, thereby enhancing the electrical resistivity of the susbtrate.
    Type: Application
    Filed: May 9, 2003
    Publication date: November 11, 2004
    Applicants: IBIS TECHNOLOGY CORPORATION, SEH AMERICA, INC.
    Inventors: Yuri Erokhin, Oleg V. Kononchuk
  • Publication number: 20040219761
    Abstract: The present invention is generally directed to a semiconductor device formed over a multiple thickness buried oxide layer, and various methods of making same. In one illustrative embodiment, the device comprises a bulk substrate, a multiple thickness buried oxide layer formed above the bulk substrate, and an active layer formed above the multiple thickness buried oxide layer, the semiconductor device being formed in the active layer above the multiple thickness buried oxide layer. In some embodiments, the multiple thickness buried oxide layer is comprised of a first section positioned between two second sections, the first section having a thickness that is less than the thickness of the second sections.
    Type: Application
    Filed: April 8, 2004
    Publication date: November 4, 2004
    Applicant: Advanced Micro Devices, Inc.
    Inventors: Mark B. Fuselier, Derick J. Wristers, Andy C. Wei
  • Patent number: 6812114
    Abstract: A method of fabricating a silicon-on-insulator (SOI) substrate including an ultra-thin top Si-containing layer and at least one patterned buried semi-insulating or insulating region having well defined edges is provided. The method includes a step of implanting first ions into a surface of a Si-containing substrate so as to form a first implant region of the first ions in the Si-containing substrate. Following the implantation of first ions, a first annealing step is performed which forms a buried semi-insulating or insulating region within the Si-containing substrate. Next, second ions that are insoluble in the semi-insulating or insulating region are selectively implanted into portions of the buried semi-insulating or insulating region. After the selective implant step, a second annealing step is performed which recrystallizes the buried semi-insulating or insulating region that includes second ions to the same crystal structure as the original Si-containing substrate.
    Type: Grant
    Filed: April 10, 2002
    Date of Patent: November 2, 2004
    Assignee: International Business Machines Corporation
    Inventors: Tze-chiang Chen, Devendra K. Sadana
  • Patent number: 6808944
    Abstract: According to one embodiment, a structure for monitoring a process step may include an etch stop layer (102) formed on a substrate (104) and a trench emulation layer (106) formed over an etch stop layer (102). Monitor trenches (108) may be formed through a trench emulation layer (106) that terminate at an etch stop layer (102). Monitor trenches (108) may have a depth equal to a trench emulation layer (106) thickness. A trench emulation layer (106) thickness may be subject to less variation than a substrate trench depth. A monitor structure (100) may thus be used to monitor features formed by one or more process steps that may vary according to trench depth. Such process steps may include a shallow trench isolation insulator chemical mechanical polishing step. In addition, or alternatively, a monitor structure (100) may be formed on a non-semiconductor-on-insulator (SOI) wafer, but include SOI features, providing a less expensive alternative to monitoring some SOI process steps.
    Type: Grant
    Filed: July 24, 2000
    Date of Patent: October 26, 2004
    Assignee: Cypress Semiconductor Corporation
    Inventors: Bo Jin, Kaichiu Wong
  • Patent number: 6806163
    Abstract: Within a method for forming a microelectronic fabrication, there is ion implanted into a corner of a topographic feature within a microelectronic substrate a dose of an implanting ion such as to effect rounding of the corner of the topographic feature when thermally oxidizing the microelectronic substrate. The dose of the implanting ion is implanted while employing a laterally etched mask layer as an ion implantation mask layer which exposes the corner. The dose of the implanting ion is selected from the group consisting of silicon containing ions, germanium containing ions, arsenic containing ions, phosphorus containing ions and boron containing ions, such to provide for rounding of the corner with enhanced process efficiency.
    Type: Grant
    Filed: July 5, 2002
    Date of Patent: October 19, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Jie-Shing Wu, Hsueh-Li Sun
  • Patent number: 6800518
    Abstract: A patterned SOI/SON composite structure and methods of forming the same are provided. In the SOI/SON composite structure, the patterned SOI/SON structures are sandwiched between a Si over-layer and a semiconductor substrate. The method of forming the patterned SOI/SON composite structure includes shared processing steps wherein the SOI and SON structure are formed together. The present invention also provides a method of forming a composite structure which includes buried conductive/SON structures as well as a method of forming a composite structure including only buried void planes.
    Type: Grant
    Filed: December 30, 2002
    Date of Patent: October 5, 2004
    Assignee: International Business Machines Corporation
    Inventors: Robert E. Bendernagel, Kwang Su Choe, Bijan Davari, Keith E. Fogel, Devendra K. Sadana, Ghavam G. Shahidi, Sandip Tiwari
  • Patent number: 6797586
    Abstract: A Schottky barrier diode and process of making is disclosed. The process forms a metal contact pattern in masked areas on a silicon carbide wafer. A preferred embodiment includes on insulating layer that is etched in the windows of the mask. An inert edge termination is implanted into the wafer beneath the oxide layer and adjacent the metal contacts to improve reliability. A further oxide layer may be added to improve surface resistance to physical damage.
    Type: Grant
    Filed: June 28, 2001
    Date of Patent: September 28, 2004
    Assignee: Koninklijke Philips Electronics N.V.
    Inventor: Alok Dev
  • Patent number: 6774016
    Abstract: Disclosed are an SOI substrate and a method for manufacturing the same. The SOI substrate comprises a silicon substrate including an active region defined by a field region. The field region includes a first oxygen-ion-injected isolation region having a first thickness and being formed under the active region. The center of the first region is at a first depth from a top surface of the silicon substrate. The field region of the SOI substrate further includes a second oxygen-ion-injected region having a second thickness greater than the first thickness. The second region is formed at sides of the active region and is also formed from a top surface of the silicon substrate. The center of the second ion injected region is at a second depth from the top surface of the silicon substrate. The first and second ion injected regions surround the active region for device isolation. The SOI substrate is formed by implementing two sequential ion injecting processes.
    Type: Grant
    Filed: February 13, 2002
    Date of Patent: August 10, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Tae-Ho Jang
  • Patent number: 6767801
    Abstract: A SIMOX substrate having a buried oxide layer and a surface single crystal silicon layer formed therein is produced by a method which comprises implanting oxygen ions into a silicon single crystal substrate and subsequently performing a heat treatment at an elevated temperature on the substrate. The method is characterized by performing the former stage of the heat treatment at a temperature of not lower than 1150° C. and lower than the melting point of single crystal silicon in an atmosphere obtained by adding oxygen under a partial pressure of not more than 1% to an inert gas and subsequently performing at least part of the latter stage of the heat treatment by increasing the partial pressure of oxygen within a range in which no internal oxidation is suffered to occur in the buried oxide layer. It can also be prepared by performing the former stage of the high temperature heat treatment at a temperature of not lower than 1150° C.
    Type: Grant
    Filed: September 9, 2002
    Date of Patent: July 27, 2004
    Assignee: Nippon Steel Corporation
    Inventors: Keisuke Kawamura, Atsuki Matsumura, Toshiyuki Mizutani
  • Patent number: 6768130
    Abstract: A method of forming a semiconductor on insulator structure in a monolithic semiconducting substrate with a bulk semiconductor structure. A first portion of a surface of the monolithic semiconducting substrate is recessed without effecting a second portion of the surface of the monolithic semiconducting substrate. An insulator precursor species is implanted beneath the surface of the recessed first portion of the monolithic semiconducting substrate, and a trench is etched around the implanted and recessed first portion of the monolithic semiconducting substrate. The insulator precursor species is activated to form an insulator layer beneath the surface of the recessed first portion of the monolithic semiconducting substrate. The semiconductor on insulator structure is formed in the first portion of the monolithic semiconducting substrate, and the bulk semiconductor structure is formed in the second portion of the monolithic semiconducting substrate.
    Type: Grant
    Filed: June 24, 2003
    Date of Patent: July 27, 2004
    Assignee: LSI Logic Corporation
    Inventor: Matthew J. Comard
  • Patent number: 6764922
    Abstract: An oxynitride material is used to form shallow trench isolation regions in an integrated circuit structure. The oxynitride may be used for both the trench liner and trench fill material. The oxynitride liner is formed by nitriding an initially formed oxide trench liner. The oxynitride trench fill material is formed by directly depositing a high density plasma (HDP) oxide mixture of SiH4 and O2 and adding a controlled amount of NH3 to the plasma mixture. The resultant oxynitride structure is much more resistant to trench fill erosion by wet etch, for example, yet results in minimal stress to the surrounding silicon. To further reduce stress, the nitrogen concentration may be varied by varying the proportion of O2 to NH3 in the plasma mixture so that the nitrogen concentration is maximum at the top of the fill material.
    Type: Grant
    Filed: November 7, 2003
    Date of Patent: July 20, 2004
    Assignee: International Business Machines Corporation
    Inventors: Klaus D. Beyer, Fen F. Jamin, Patrick R. Varekamp
  • Publication number: 20040102016
    Abstract: A method for forming an isolation region in a semiconductor device, in which nitrogen ions are injected into a region of an isolation oxide film to form an oxynitride film, thereby preventing formation of a recess at a top edge of the isolation oxide film, which improves the device isolation characteristic. The method includes depositing a pad oxide film and a pad nitride film over a substrate. The pad oxide film, the pad nitride film, and the substrate are selectively removed to form a trench, which is then filled with an isolation oxide film. Nitrogen ions are injected into an entire surface of the pad nitride film, inclusive of the isolation oxide film, to form an oxynitride film in a region of the isolation oxide film. The pad nitride film and the pad oxide film are removed, and a gate oxide film and a polysilicon layer are deposited.
    Type: Application
    Filed: October 10, 2003
    Publication date: May 27, 2004
    Applicant: Hynix Semiconductor Inc.
    Inventor: Yi Sun Chung
  • Patent number: 6737332
    Abstract: The present invention is generally directed to a semiconductor device formed over a multiple thickness buried oxide layer, and various methods of making same. In one illustrative embodiment, the device comprises a bulk substrate, a multiple thickness buried oxide layer formed above the bulk substrate, and an active layer formed above the multiple thickness buried oxide layer, the semiconductor device being formed in the active layer above the multiple thickness buried oxide layer. In some embodiments, the multiple thickness buried oxide layer is comprised of a first section positioned between two second sections, the first section having a thickness that is less than the thickness of the second sections.
    Type: Grant
    Filed: March 28, 2002
    Date of Patent: May 18, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark B. Fuselier, Derick J. Wristers, Andy C. Wei
  • Publication number: 20040087103
    Abstract: A method of fabricating a semiconductor device having a silicon layer disposed on an insulating film. Oxygen ions are implanted into selected parts of the silicon layer, which are then oxidized to form isolation regions dividing the silicon layer into a plurality of mutually isolated active regions. As the oxidation process does not create steep vertical discontinuities, fine patterns can be formed easily on the combined surface of the active and isolation regions. The implanted oxygen ions cause oxidation to proceed quickly, finishing before a pronounced bird's beak is formed. The isolation regions themselves can therefore be narrow and finely patterned.
    Type: Application
    Filed: August 6, 2003
    Publication date: May 6, 2004
    Inventor: Jun Kanamori
  • Publication number: 20040082138
    Abstract: A method of forming a mask for bombardment of a semiconductor substrate with high energy particles and a mask formed thereby are provided. A patterned layer of a blocking material is formed over a mask substrate to define a high energy particle bombardment mask pattern. The blocking material has sufficient thickness in the mask pattern to substantially shield the semiconductor substrate from selected high energy particles in areas overlapped by the mask pattern when the mask is aligned over the semiconductor substrate. The mask includes a mask substrate having a patterned layer of blocking material formed thereon to define a high energy particle bombardment mask pattern. The blocking material has sufficient thickness in the mask pattern to substantially shield the semiconductor substrate from selected high energy particles in areas of the semiconductor substrate overlapped by the mask pattern when the mask is aligned over the semiconductor substrate.
    Type: Application
    Filed: October 23, 2002
    Publication date: April 29, 2004
    Inventors: Lin Wen-Chin, Denny D. Tang, Tsing-Tyan Yang, David Jeng
  • Patent number: 6727157
    Abstract: In fabricating a shallow trench isolation (STI), a silicon oxide layer, a silicon nitride layer and a moat pattern is sequentially deposited on a silicon substrate. Next, the silicon nitride layer and the silicon oxide layer is etched using the moat pattern as a mask to thereby partially expose the silicon substrate and then the moat pattern is removed. Ion implanting process is performed into the silicon substrate using the silicon nitride layer as a mask, adjusting a dose of an implanted ion and an implant energy, to thereby form an isolation region. And then, the isolation region to form a porous silicon and to form an air gap in the porous silicon is anodized, wherein a porosity of the porous silicon is determined by the dose of the implanted ion. Next, the porous silicon is oxidized through an oxidation process. Finally, the silicon nitride layer is removed.
    Type: Grant
    Filed: September 9, 2003
    Date of Patent: April 27, 2004
    Assignee: Anam Semiconductor, Inc.
    Inventor: Young Hun Seo
  • Patent number: 6689672
    Abstract: A method of forming separate buried layers close to one another in a semiconductor component. This method includes the steps of forming, by implantation, doped areas in a semiconductor substrate; performing an anneal just sufficient to eliminate crystal defects resulting from the implantation; depositing an epitaxial layer; digging trenches delimiting each implanted region; and annealing the buried layers, the lateral diffusion of which is blocked by said trenches, said trenches being deeper than the downward extension of the diffusions resulting from said implantations.
    Type: Grant
    Filed: April 10, 2001
    Date of Patent: February 10, 2004
    Assignee: STMicroelectronics S.A.
    Inventors: Yvon Gris, Thierry Schwartzmann