Multiple Insulative Layers In Groove Patents (Class 438/435)
  • Publication number: 20080220587
    Abstract: The embodiments of the invention provide a device, method, etc. for a dual stress STI. A semiconductor device is provided having a substrate with a first transistor region and a second transistor region different than the first transistor region. The first transistor region comprises a PFET; and, the second transistor region comprises an NFET. Further, STI regions are provided in the substrate adjacent sides of and positioned between the first transistor region and the second transistor region, wherein the STI regions each comprise a compressive region, a compressive liner, a tensile region, and a tensile liner.
    Type: Application
    Filed: May 22, 2008
    Publication date: September 11, 2008
    Applicant: International Business Machines Corporation
    Inventors: Deok-kee Kim, Seong-Dong Kim, Oh-Jung Kwon
  • Publication number: 20080217702
    Abstract: A semiconductor device according to an embodiment of the present invention includes: a semiconductor substrate; an isolation region including a liner film formed so as to contact a lower surface and a lower side surface of an inner wall of a trench formed in the semiconductor substrate, a first insulating film formed so that at least a part of a side surface and a lower surface of the first insulating film contact the liner film within the trench, and a second insulating film formed so as to contact an upper side of the first insulating film and formed so as to contact an upper side surface of the inner wall of the trench, the second insulating film having a higher etching resistance than that of the first insulating film; and a plurality of semiconductor elements disposed on the semiconductor substrate so as to be isolated from one another by the isolation region.
    Type: Application
    Filed: July 30, 2007
    Publication date: September 11, 2008
    Inventor: Amane Oishi
  • Publication number: 20080203523
    Abstract: Disclosed herein are embodiments of a semiconductor structure and an associated method of forming the semiconductor structure with shallow trench isolation structures having selectively adjusted reflectance and absorption characteristics in order to ensure uniform temperature changes across a wafer during a rapid thermal anneal and, thereby, limit variations in device performance. Also disclosed are embodiments of another semiconductor structure and an associated method of forming the semiconductor structure with devices having selectively adjusted reflectance and absorption characteristics in order to either selectively vary the performance of individual devices (e.g., to form devices with different threshold voltages (Vt) on the same wafer) and/or to selectively optimize the anneal temperature of individual devices (e.g., to ensure optimal activation temperatures for n-type and p-type dopants during anneals).
    Type: Application
    Filed: February 26, 2007
    Publication date: August 28, 2008
    Inventors: Brent A. Anderson, Edward J. Nowak
  • Publication number: 20080197444
    Abstract: An integrated circuit and method including an isolation arrangement. One embodiment provides a substrate having trenches and mesa regions and also auxiliary structures on the mesa regions. A first isolation structure covers side walls and a bottom region of the trenches and at least partially side walls of the auxiliary structure. A liner on the first isolation structure fills the trenches and gaps between the auxiliary structures with a second isolation structure; and the second isolation structure is pulled back, wherein upper sections of the liner are uncovered.
    Type: Application
    Filed: February 21, 2008
    Publication date: August 21, 2008
    Applicant: Qimonda AG
    Inventor: Andreas Voerckel
  • Publication number: 20080179715
    Abstract: A method for providing an isolation material, for example trench isolation for a semiconductor device, comprises forming a first dielectric such as silicon dioxide using an atomic layer deposition (ALD) process within a trench, partially etching the first dielectric, then forming a second dielectric such as a silicon dioxide using a high density plasma (HDP) deposition within the trench. The second dielectric provides desirable properties such as resistance to specific etches than the first dielectric, while the first dielectric fills high aspect ratio openings more easily than the second dielectric. Depositing the first dielectric results in a decreased trench aspect ratio which must be filled by the second dielectric.
    Type: Application
    Filed: January 30, 2007
    Publication date: July 31, 2008
    Inventor: Brian J. Coppa
  • Publication number: 20080182382
    Abstract: A method for forming a semiconductor structure includes forming a plurality of features across a surface of a substrate, with at least one space being between two adjacent features. A first dielectric layer is formed on the features and within the at least one space. A portion of the first dielectric layer interacts with a reactant derived from a first precursor and a second precursor to form a first solid product. The first solid product is decomposed to substantially remove the portion of the first dielectric layer. A second dielectric layer is formed to substantially fill the at least one space.
    Type: Application
    Filed: November 29, 2007
    Publication date: July 31, 2008
    Applicant: Applied Materials, Inc.
    Inventors: Nitin K. Ingle, Jing Tang, Yi Zheng, Zheng Yuan, Zhenbin Ge, Xinliang Lu, Chien-Teh Kao, Vikash Banthia, William H. McClintock, Mei Chang
  • Patent number: 7402473
    Abstract: A process of producing a semiconductor device having a highly reliable groove isolation structure with a desired radius of curvature formed at the groove upper edge and without formation of any step. The device is produced by reducing the stress generation around the groove upper edge of an element isolation groove on a semiconductor substrate, thereby optimizing the shape of an element isolation groove and making the device finer and improving the device electric characteristics.
    Type: Grant
    Filed: April 19, 2005
    Date of Patent: July 22, 2008
    Assignees: Renesas Technology Corp., Hitachi ULSI Systems Co., Ltd.
    Inventors: Norio Ishitsuka, Hideo Miura, Shuji Ikeda, Norio Suzuki, Yasushi Matsuda, Yasuko Yoshida, Hirohiko Yamamoto, Masamichi Kobayashi, Akira Takamatsu, Hirofumi Shimizu, Kazushi Fukuda, Shinichi Horibe, Toshio Nozoe
  • Patent number: 7402500
    Abstract: Methods of forming a shallow trench isolation structures in semiconductor devices are disclosed. A disclosed method comprises forming a first oxide layer, a nitride layer, and a second oxide layer on a substrate; forming a trench defining first and second active areas by etching the second oxide layer, the nitride layer, the first oxide layer, and the substrate in a predetermined area; forming a third oxide layer along an inside of the trench; forming a fourth oxide layer to fill up the trench; forming a sacrificial oxide layer on the fourth oxide layer; and removing the sacrificial oxide layer, the fourth oxide layer, the third oxide layer, the second oxide layer, and the nitride layer so as to form the shallow trench isolation. Thus, it is possible to minimize the damage of a narrow active area when forming an element isolation area through an STI process.
    Type: Grant
    Filed: July 13, 2005
    Date of Patent: July 22, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jae Suk Lee
  • Patent number: 7402499
    Abstract: A semiconductor device includes a semiconductor substrate formed with a plurality of first element isolation trenches having respective first opening widths and a plurality of second element isolation trenches having larger opening widths than the first opening widths, element isolation insulating films buried in the first element isolation trenches so that upper parts of the trenches have partial openings, respectively and buried in the second element isolation trenches respectively, and coating type oxide films formed so as to fill the openings of the first element isolation trenches, respectively.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: July 22, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshinori Kitamura, Koichi Matsuno, Kazunori Nishikawa
  • Patent number: 7402498
    Abstract: The invention includes methods of forming trench isolation regions. In one implementation, a masking material is formed over a semiconductor substrate. The masking material comprises at least one of tungsten, titanium nitride and amorphous carbon. An opening is formed through the masking material and into the semiconductor substrate effective to form an isolation trench within semiconductive material of the semiconductor substrate. A trench isolation material is formed within the isolation trench and over the masking material outside of the trench effective to overfill the isolation trench. The trench isolation material is polished at least to an outermost surface of the at least one of tungsten, titanium nitride and amorphous carbon of the masking material. The at least one of tungsten, titanium nitride and amorphous carbon is/are etched from the substrate. Other implementations and aspects are contemplated.
    Type: Grant
    Filed: August 22, 2005
    Date of Patent: July 22, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Garo J. Derderian, H. Montgomery Manning
  • Patent number: 7399679
    Abstract: A method to reduce the inverse narrow width effect in NMOS transistors is described. An oxide liner is deposited in a shallow trench that is formed to isolate active areas in a substrate. A photoresist plug is formed in the shallow trench and is recessed below the top of the substrate to expose the top portion of the oxide liner. An angled indium implant through the oxide liner into the substrate is then performed. The plug is removed and an insulator is deposited to fill the trenches. After planarization and wet etch steps, formation of a gate dielectric layer and a patterned gate layer, the NMOS transistor exhibits an improved Vt roll-off of 40 to 45 mVolts for both long and short channels. The improvement is achieved with no degradation in junction or isolation performance. The indium implant dose and angle may be varied to provide flexibility to the process.
    Type: Grant
    Filed: November 29, 2005
    Date of Patent: July 15, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yi-Ming Sheu, Da-Wen Lin, Cheng-Ku Chen, Po-Ying Yeh, Shi-Shung Peng, Chung-Cheng Wu
  • Publication number: 20080166856
    Abstract: The invention includes methods of forming recessed access devices. A substrate is provided to have recessed access device trenches therein. A pair of the recessed access device trenches are adjacent one another. Electrically conductive material is formed within the recessed access device trenches, and source/drain regions are formed proximate the electrically conductive material. The electrically conductive material and source/drain regions together are incorporated into a pair of adjacent recessed access devices. After the recessed access device trenches are formed within the substrate, an isolation region trench is formed between the adjacent recessed access devices and filled with electrically insulative material to form a trenched isolation region.
    Type: Application
    Filed: March 19, 2008
    Publication date: July 10, 2008
    Inventors: Kunal R. Parekh, Suraj Mathew, Jigish D. Trivedi, John K. Zahurak, Sanh D. Tang
  • Patent number: 7396739
    Abstract: A method for integrating an electronic component or the like into a substrate includes following process steps: formation of a dielectric insulating layer on the front side of a substrate; complete back-etching of an area of the substrate from the back of the substrate to form a cavity; formation of a photoresistive layer with a homogeneous thickness over the back of the substrate; placement of an electronic component on the photoresistive layer formed in the cavity for adhesion of the electronic component to the photoresistive layer; removal of the formed photoresistive layer except for the area on which the electronic component adheres to the photoresistive layer in the cavity; and formation of a fixing layer over the back of the substrate to fix the electronic component in the cavity of the substrate.
    Type: Grant
    Filed: February 17, 2006
    Date of Patent: July 8, 2008
    Assignee: ATMEL Germany GmbH
    Inventor: Mojtaba Joodaki
  • Patent number: 7396729
    Abstract: A semiconductor device is formed by providing a substrate. A trench is formed in the substrate. Beveled surfaces are formed at upper portions of sidewalls of the trench opposite a bottom surface of the trench, respectively. An oxide layer is formed in the trench such that the oxide layer is thicker on the beveled surfaces of the trench than on other surfaces of the trench.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: July 8, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chul Jeong, Wook-Hyoung Lee
  • Publication number: 20080160721
    Abstract: A method for forming an isolation layer in a semiconductor device comprises forming a trench inside a semiconductor substrate, forming a first high density plasma (HDP) oxide layer such that the first HDP oxide layer partially fills the trench, etching overhangs on sides of the trench by first cleaning with a hydrofluoric acid (HF) solution, subjecting a upper portion of the first HDP oxide layer to densification by second cleaning with an ozone (O3) solution, forming a liner HDP oxide layer having a high content of silicon (Si) over the first HDP oxide layer, and forming a second HDP oxide layer such that the second HDP oxide layer entirely fills the trench.
    Type: Application
    Filed: June 5, 2007
    Publication date: July 3, 2008
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Byung Soo Eun
  • Publication number: 20080157264
    Abstract: One embodiment of the present invention relates to a method of forming an isolation structure. During this method, an isolation trench is formed within a semiconductor body. After this trench is formed, it is filled by performing multiple high-frequency plasma depositions to deposit multiple dielectric layers over the semiconductor body. A first of the multiple layers is deposited at a high-frequency power of between approximately 100 watts and approximately 900 watts.
    Type: Application
    Filed: January 17, 2007
    Publication date: July 3, 2008
    Inventors: Jin Zhao, Manuel Quevedo-Lopez, Louis H. Breaux
  • Publication number: 20080157216
    Abstract: The embodiments of the invention provide a device, method, etc. for a dual stress STI. A semiconductor device is provided having a substrate with a first transistor region and a second transistor region different than the first transistor region. The first transistor region comprises a PFET; and, the second transistor region comprises an NFET. Further, STI regions are provided in the substrate adjacent sides of and positioned between the first transistor region and the second transistor region, wherein the STI regions each comprise a compressive region, a compressive liner, a tensile region, and a tensile liner.
    Type: Application
    Filed: January 3, 2007
    Publication date: July 3, 2008
    Applicant: International Business Machines Corporation
    Inventors: Deok-kee Kim, Seong-Dong Kim, Oh-Jung Kwon
  • Patent number: 7393755
    Abstract: A method and system are described to reduce process variation as a result of the electrochemical deposition (ECD), also referred to as electrochemical plating (ECP), and chemical mechanical polishing (CMP) processing of films in integrated circuit manufacturing processes. The described methods use process variation and electrical impact to direct the insertion of dummy fill into an integrated circuit.
    Type: Grant
    Filed: June 7, 2002
    Date of Patent: July 1, 2008
    Assignee: Cadence Design Systems, Inc.
    Inventors: Taber H. Smith, Vikas Mehrotra, David White
  • Patent number: 7393756
    Abstract: A method for fabricating a trench isolation structure wherein a trench is formed in a silicon body and an oxide layer is formed in the trench. The silicon body is exposed at the bottom of the trench by means of an etching step, and silicon oxide is selectively grown on the silicon exposed at the bottom of the trench, the silicon oxide being grown from the bottom of the trench toward an upper edge of the trench.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: July 1, 2008
    Assignee: Infineon Technologies AG
    Inventor: Uwe Wellhausen
  • Patent number: 7393751
    Abstract: A semiconductor structure and a related method for fabrication thereof include an isolation region located within an isolation trench within a semiconductor substrate. The isolation region comprises; (1) a lower lying dielectric plug layer recessed within the isolation trench; (2) a U shaped dielectric liner layer located upon the lower lying dielectric plug layer and partially filling the recess; and (3) an upper lying dielectric plug layer located upon the U shaped dielectric liner layer and completely filling the recess. The isolation region provides for sidewall coverage of the isolation trench, thus eliminating some types of leakage paths.
    Type: Grant
    Filed: March 13, 2007
    Date of Patent: July 1, 2008
    Assignee: International Business Machines Corporation
    Inventors: Zhijiong Luo, Huilong Zhu
  • Publication number: 20080149969
    Abstract: A semiconductor device includes an active region formed on a semiconductor substrate, an element isolation region formed on the semiconductor substrate so as to surround the active region, and a gate electrode formed on the active region. A region that causes tensile stress so as to improve carrier mobility in the active region is provided in the element isolation region.
    Type: Application
    Filed: November 13, 2007
    Publication date: June 26, 2008
    Inventors: Ken Suzuki, Masafumi Tsutsui
  • Publication number: 20080132030
    Abstract: After sequentially forming an insulating layer and a capping dielectric layer having a higher density than the insulating layer, a chemical mechanical polishing (CMP) process is performed to prevent scratch from being formed on the surface of the insulating layer at the early stage of the CMP process. Thus, a semiconductor device with improved reliability is achieved.
    Type: Application
    Filed: December 4, 2007
    Publication date: June 5, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Il-Young YOON, Dong-Suk SHIN, Jae-Ouk CHOO, Ja-Eung KOO
  • Patent number: 7381267
    Abstract: A method for forming, by epitaxy, a heteroatomic single-crystal semiconductor layer on a single-crystal semiconductor wafer, the crystal lattices of the layer and of the wafer being different, including forming, before the epitaxy, in the wafer surface, at least one ring of discontinuities around a useful region.
    Type: Grant
    Filed: April 1, 2004
    Date of Patent: June 3, 2008
    Assignee: STMicroelectronics S.A.
    Inventors: Daniel Bensahel, Olivier Kermarrec, Yves Morand, Yves Campidelli, Vincent Cosnier
  • Patent number: 7375004
    Abstract: A method of forming and resulting isolation region, which allows for densification of an oxide layer in the isolation region. One exemplary embodiment of the method includes the steps of forming a first trench, forming an oxide layer on the bottom and sidewalls of the trench, forming nitride spacers on the lined trench, and thereafter etching the silicon beneath the first trench to form a second trench area. An oxide layer is then deposited to fill the second trench. Densificiation of the isolation region is possible because the silicon is covered with nitride, and therefore will not be oxidized. Light etches are then performed to etch the oxide and nitride spacer area in the first trench region. A conventional oxide fill process can then be implemented to complete the isolation region.
    Type: Grant
    Filed: March 10, 2006
    Date of Patent: May 20, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Sukesh Sandhu, Gurtej Sandhu
  • Patent number: 7371658
    Abstract: The present invention provides a trench isolation structure, a method of manufacture therefor and a method for manufacturing an integrated circuit including the same. The trench isolation structure (130), in one embodiment, includes a trench located within a substrate (110), the trench having a buffer layer (133) located on sidewalls thereof. The trench isolation structure (130) further includes a barrier layer (135) located over the buffer layer (133), and fill material (138) located over the barrier layer (135) and substantially filling the trench.
    Type: Grant
    Filed: June 17, 2004
    Date of Patent: May 13, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Rick L. Wise, Mark S. Rodder
  • Patent number: 7368800
    Abstract: The invention includes methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating integrated circuitry including memory circuitry, and integrated circuitry such as memory integrated circuitry.
    Type: Grant
    Filed: September 25, 2006
    Date of Patent: May 6, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Patent number: 7368365
    Abstract: A method of forming a buried digit line is disclosed. Sacrificial spacers are formed along the sidewalls of an isolation trench, which is then filled with a sacrificial material. One spacer is masked while the other spacer is removed and an etch step into the substrate beneath the removed spacer forms an isolation window. Insulating liners are then formed along the sidewalls of the emptied trench, including into the isolation window. A digit line recess is then formed through the bottom of the trench between the insulating liners, which double as masks to self-align this etch. The digit line recess is then filled with metal and recessed back, with an optional prior insulating element deposited and recessed back in the bottom of the recess.
    Type: Grant
    Filed: July 21, 2006
    Date of Patent: May 6, 2008
    Inventor: David H. Wells
  • Patent number: 7368366
    Abstract: The invention includes methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating integrated circuitry including memory circuitry, and integrated circuitry such as memory integrated circuitry.
    Type: Grant
    Filed: September 25, 2006
    Date of Patent: May 6, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Patent number: 7364975
    Abstract: Methods of fabricating semiconductor devices are disclosed. In a preferred embodiment, a method of fabricating a semiconductor device includes providing a workpiece including a plurality of active area regions defined therein, and forming at least one trench in the workpiece between at least two of the plurality of active area regions. A first insulating material is deposited over the plurality of active area regions and the at least one trench, partially filling the at least one trench with the first insulating material and forming peaks of the first insulating material over the plurality of active area regions. A masking material is formed over the first insulating material in the at least one trench, leaving the peaks of the first insulating material over the plurality of active area regions completely exposed. At least the peaks of the first insulating material are removed from over the plurality of active area regions.
    Type: Grant
    Filed: July 20, 2006
    Date of Patent: April 29, 2008
    Assignee: Infineon Technologies AG
    Inventors: Marcus Culmsee, Frank Weber, Josef Maynollo
  • Patent number: 7364981
    Abstract: The invention includes methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating integrated circuitry including memory circuitry, and integrated circuitry such as memory integrated circuitry.
    Type: Grant
    Filed: September 25, 2006
    Date of Patent: April 29, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Patent number: 7361572
    Abstract: A new and improved liner modification method for a liner oxide layer in an STI trench is disclosed. According to the method, an STI trench is etched in a substrate and a liner oxide layer is formed on the trench surfaces by oxidation techniques. The method further includes pre-treatment of the trench surfaces using a nitrogen-containing gas prior to formation of the liner oxide layer, post-formation nitridation of the liner oxide layer, or both pre-treatment of the trench surfaces and post-formation nitridation of the liner oxide layer. The liner modification method of the present invention optimizes the inverse narrow width effect (INWE) and gate oxide integrity (GOI) of STI structures and prevents diffusion of dopant into the liner oxide layer during subsequent processing.
    Type: Grant
    Filed: February 17, 2005
    Date of Patent: April 22, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chien-Hao Chen, Vincent S. Chang, Chia-Lin Chen, Tze-Liang Lee, Shih-Chang Chen
  • Patent number: 7358190
    Abstract: Methods of forming material in a gap in a substrate include forming a pattern to define a gap on a substrate. A bottom oxide layer is formed on a surface of the substrate and substantially filling the gap. The bottom oxide layer is etched back inside an opening in the gap to expose side walls of the gap so that a residual bottom oxide layer remains at a bottom of the gap. A top oxide layer is selectively deposited on the residual bottom oxide layer, wherein the top oxide layer is deposited in a first direction toward the opening at a faster rate than in a second direction away from the side walls.
    Type: Grant
    Filed: December 11, 2003
    Date of Patent: April 15, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hong-gun Kim, Kyu-tae Na, Eun-Kee Hong, Ju-Seon Goo
  • Patent number: 7358144
    Abstract: A method for fabricating a semiconductor device includes forming first, second, and third device structures in a semiconductor substrate. Each device structure includes a first film, a second film over the first film, and a third film over the second film. The first and third device structures are device isolation structures. A portion of the second device structure is etched to define a bit line contact region, the bit line contact region extending from an upper surface of the second device structure to a lower surface of the second device structure. The second film of the second device structure is etched to define an under-cut space between the first and second films. A semiconductor layer is formed within the under-cut space and the bit line contact region. The third film of the second device structure is etched or removed to define a recess, the recess defining a gate region. A gate structure is formed at least partly within the recess.
    Type: Grant
    Filed: April 10, 2006
    Date of Patent: April 15, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Song Hyeuk Im
  • Patent number: 7358150
    Abstract: By forming a non-oxidizable liner in isolation trenches, the creation of compressive stress may be significantly reduced, wherein, in illustrative embodiments, silicon nitride may be used as liner material. For this purpose, the etch behavior of the silicon nitride may be efficiently modified on the basis of an appropriate surface treatment, thereby providing a high degree of material integrity during a subsequent etch process for removing non-modified portions of silicon nitride, which may also be used as an efficient CMP stop layer.
    Type: Grant
    Filed: September 19, 2006
    Date of Patent: April 15, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Klaus Hempel, Stephan Kruegel, Ekkehard Pruefer
  • Patent number: 7354818
    Abstract: A method of manufacturing a semiconductor device having an active region and a termination region includes providing a semiconductor substrate having first and second main surfaces opposite to each other. The semiconductor substrate has an active region and a termination region surrounding the active region. The first main surface is oxidized. A first plurality of trenches and a first plurality of mesas are formed in the termination region. The first plurality of trenches in the termination region are filled with a dielectric material. A second plurality of trenches are formed in the termination region. The trenches of the second plurality of trenches are filled with the dielectric material.
    Type: Grant
    Filed: December 27, 2005
    Date of Patent: April 8, 2008
    Assignee: Third Dimension (3D) Semiconductor, Inc.
    Inventors: Fwu-Iuan Hshieh, Brian D. Pratt
  • Patent number: 7348256
    Abstract: A method of fabricating an electronic device and the resulting electronic device. The method includes forming a gate oxide on an uppermost side of a silicon-on-insulator substrate; forming a first polysilicon layer over the gate oxide; and forming a first silicon dioxide layer over the first polysilicon layer. A first silicon nitride layer is then formed over the first silicon dioxide layer followed by a second silicon dioxide layer. Shallow trenches are etched through all preceding dielectric layers and into the SOI substrate. The etched trenches are filled with another dielectric layer (e.g., silicon dioxide) and planarized. Each of the preceding dielectric layers are removed, leaving an uppermost sidewall area of the dielectric layer exposed for contact with a later-applied polysilicon gate area. Formation of the sidewall area assures a full-field oxide thickness thereby producing a device with a reduced-electric field and a reduced capacitance between gate and drift regions.
    Type: Grant
    Filed: July 25, 2005
    Date of Patent: March 25, 2008
    Assignee: Atmel Corporation
    Inventors: Gayle W. Miller, Jr., Volker Dudek, Michael Graf
  • Patent number: 7344942
    Abstract: A hard mask layer is formed and patterned overlying a semiconductor substrate of a semiconductor device. The patterned hard mask layer exposes two or more areas of the substrate for future isolation regions of the semiconductor device. Portions of the substrate are removed in the areas for future isolation regions, thereby forming two or more trenches. A second mask layer is formed overlying a first portion of the hard mask layer and at least one first trench, and a second portion of the hard mask layer and at least one second trench are left uncovered. Additional substrate material is removed from the at least one second trench so that the at least one second trench is deeper than the at least one first trench. The hard mask layer and the second mask are removed substantially concurrently.
    Type: Grant
    Filed: January 26, 2005
    Date of Patent: March 18, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Mark S. Korber
  • Patent number: 7332408
    Abstract: Methods and apparatus are provided. A first dielectric plug is formed in a portion of a trench that extends into a substrate of a memory device so that an upper surface of the first dielectric plug is recessed below an upper surface of the substrate. The first dielectric plug has a layer of a first dielectric material and a layer of a second dielectric material formed on the layer of the first dielectric material. A second dielectric plug of a third dielectric material is formed on the upper surface of the first dielectric plug.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: February 19, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Michael Violette
  • Patent number: 7332409
    Abstract: A method of forming a trench isolation layer can include forming an isolation layer in a trench using High Density Plasma Chemical Vapor Deposition (HDPCVD) with a carrier gas comprising hydrogen. Other methods are disclosed.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: February 19, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Won Cha, Kyu-Tae Na, Yong-Soon Choi, Eunkee Hong, Ju-Seon Goo
  • Publication number: 20080035984
    Abstract: One embodiment of a method of fabricating a flash memory device includes forming a trench mask pattern, which includes a gate insulation pattern and a charge storage pattern stacked in sequence, on a semiconductor substrate; etching the semiconductor substrate using the trench mask pattern as an etch mask to form trenches defining active regions; and sequentially forming lower and upper device isolation patterns in the trench. After sequentially forming an intergate insulation film and a control gate film on the upper device isolation pattern, the control gate film, the intergate insulation pattern and the gloating gate pattern are formed, thereby providing gate lines crossing over the active regions.
    Type: Application
    Filed: December 29, 2006
    Publication date: February 14, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hong-Gun KIM, Ju-Seon GOO, Mun-Jun KIM, Yong-Soon CHOI, Sung-Tae KIM, Eun-Kyung BAEK
  • Patent number: 7329586
    Abstract: Methods deposit a film on a substrate disposed in a substrate processing chamber. The substrate has a gap formed between adjacent raised surfaces. Flows of first precursor deposition gases are provided to the substrate processing chamber. A first high-density plasma is formed from the flows of first deposition gases to deposit a first portion of the film over the substrate and within the gap with a first deposition process that has simultaneous deposition and sputtering components until after the gap has closed. A sufficient part of the first portion of the film is etched back to reopen the gap. Flows of second precursor deposition gases are provided to the substrate processing chamber. A second high-density plasma is formed from the flows of second precursor deposition gases to deposit a second portion of the film over the substrate and within the reopened gap with a second deposition process that has simultaneous deposition and sputtering components.
    Type: Grant
    Filed: June 24, 2005
    Date of Patent: February 12, 2008
    Assignees: Applied Materials, Inc., Matsushita Electric Industrial Co., Ltd.
    Inventors: Manoj Vellaikal, Hemant P. Mungekar, Young S. Lee, Yasutoshi Okuno, Hiroshi Yuasa
  • Patent number: 7320926
    Abstract: A method for forming shallow trenches having different trench fill materials is described. A stop layer is provided on a substrate. A plurality of trenches is etched through the stop layer and into the substrate. A first layer is deposited over the stop layer and filling said trenches. The first layer is planarized to the stop layer leaving the first layer within the trenches. The first layer is removed from a subset of the trenches. A second layer is deposited over the stop layer and within the subset of trenches and planarized to the stop layer leaving the second layer within the subset of trenches to complete fabrication of shallow trenches having different trench fill materials. The trench fill materials may be dielectric layers having different dielectric constants or they may be a dielectric layer and a conducting layer. The method can be extended to provide three or more different trench fill materials.
    Type: Grant
    Filed: January 26, 2006
    Date of Patent: January 22, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Min-Hwa Chi
  • Patent number: 7319062
    Abstract: A trench isolation method for a semiconductor device, wherein a capping layer formed of an insulating material fills a recess generated at a border edge between an active area and an inactive area. The border edge is defined by a trench filled with insulating material. Filling the recess suppresses defects of the semiconductor device. Reduction of the isolating ability, due to the formation of gate poly residue during the forming of a gate, is prevented. Reduction of the threshold voltage of a transistor, caused by electric field concentration due to the gate poly residue, is suppressed. An oxide layer is also provided which protects an nitride pad during a plasma process.
    Type: Grant
    Filed: April 26, 2001
    Date of Patent: January 15, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Sug-hun Hong
  • Patent number: 7297609
    Abstract: A method for fabricating a semiconductor device includes the steps of sequentially forming a pad oxide layer and a pad nitride layer on a substrate, the pad oxide layer including a first oxide layer formed on an upper surface of the substrate and a second oxide layer formed on a lower surface of the substrate, and the pad nitride layer including a first nitride layer formed on the upper surface of the substrate and a second nitride layer formed on the lower surface of the substrate; patterning the first nitride layer by removing a portion of the first nitride layer; forming a trench in the substrate corresponding to the removed portion of the first nitride layer, thereby patterning the first oxide layer; filling the trench with an insulating material to form a device isolation layer; forming a passivation layer on the substrate, the passivation layer including a first passivation layer formed on the upper surface of the substrate including the device isolation layer, and a second passivation layer formed on t
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: November 20, 2007
    Assignee: Donogbu Electronics Co., Ltd.
    Inventor: Jae Hee Kim
  • Publication number: 20070264790
    Abstract: A method of manufacturing semiconductor devices includes forming a trench in a predetermined region of a substrate. A first insulating layer and a second insulating layer are formed on a entire surface so that the trench is gap-filled. The first and second insulating layers are polished until a top surface of the substrate is exposed. A wet etch process of a low selectivity is performed, so that a portion of the first insulating layer remains on sides of the trench while stripping the second insulating layer. A third insulating layer is formed on the entire surface, so that the trench is gap-filled, thereby forming an isolation structure.
    Type: Application
    Filed: November 8, 2006
    Publication date: November 15, 2007
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Whee Won Cho, Jung Geun Kim, Suk Joong Kim
  • Patent number: 7294555
    Abstract: A method of forming a trench in a semiconductor device includes forming a polish stop layer on a semiconductor substrate. The polish stop layer and the semiconductor substrate are then etched to form a trench. The semiconductor substrate is etched to a predetermined depth. Also, etching is performed such that ends of the polish stop layer adjacent to the trench are rounded. Next, an insulation layer that fills the trench is formed.
    Type: Grant
    Filed: November 25, 2003
    Date of Patent: November 13, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Young-Hun Seo
  • Patent number: 7279393
    Abstract: The present invention provides a trench isolation structure, a method for manufacturing a trench isolation structure, and a method for manufacturing an integrated circuit including the trench isolation structure. In one aspect, the method includes forming a hardmask over a substrate, etching a trench in the substrate through the hardmask, forming a liner in the trench, depositing an interfacial layer over the liner within the trench and over the hardmask and filling the trench with a dielectric material.
    Type: Grant
    Filed: September 29, 2004
    Date of Patent: October 9, 2007
    Assignee: Agere Systems Inc.
    Inventors: Arun Nanda, Nace Rossi, Ranbir Singh
  • Patent number: 7279394
    Abstract: Disclosed herein are methods for forming wall oxide films in flash memory devices and methods for forming isolation films. After trenches are formed in the substrate, an ISSG (In-Situ Steam Generation) oxidization process is performed to form wall oxide films on sidewalls of the trenches. This process prohibits formation of facets at the top and bottom edge portions of the trenches. Thus, the top edges of the trenches are rounded. Furthermore, the ISSG oxidization process is performed at a low temperature for a relatively short time. Therefore, thermal stress due to carrying out an oxidization process for a long time is reduced and a dislocation phenomenon is thus prevented from occurring.
    Type: Grant
    Filed: December 17, 2004
    Date of Patent: October 9, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Seung Cheol Lee
  • Patent number: 7273792
    Abstract: A semiconductor device including a semiconductor substrate, a device isolation region formed by filling a trench in the semiconductor substrate with dielectric material and defining device regions in the semiconductor substrate. The trench has a rounded upper edge, and a dummy thin layer formed on the rounded upper edge.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: September 25, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Yong Keon Choi
  • Patent number: 7273796
    Abstract: A method of fabricating integrated circuitry includes depositing a spin-on-dielectric over a semiconductor substrate. The spin-on-dielectric comprises a polysilazane. Only some of the polysilazane is etched from the semiconductor substrate. Such etching comprises exposure to an etching fluid comprising at least one of a) an aqueous fluid having a pH greater than 7.0, or b) a basic fluid solution. After the etching, remaining spin-on-dielectric comprising polysilazane is annealed effective to form an annealed dielectric which is different in composition from the spin-on-dielectric, and preferably having a dielectric constant k which is different from that of the initially deposited spin-on-dielectric.
    Type: Grant
    Filed: March 23, 2005
    Date of Patent: September 25, 2007
    Assignee: Micron Technology, Inc.
    Inventors: John Smythe, Li Li, Janos Fucsko