Including Implantation Of Ion Which Reacts With Semiconductor Substrate To Form Insulating Layer Patents (Class 438/480)
  • Patent number: 6750067
    Abstract: A high quality epitaxial layer of monocrystalline Pb(Zr,Ti)O3 can be grown overlying large silicon wafers by first growing an strontium titanate layer on a silicon wafer. The strontium titanate layer is a monocrystalline layer spaced apart from the silicon wafer by an amorphous interface layer of silicon oxide.
    Type: Grant
    Filed: April 19, 2002
    Date of Patent: June 15, 2004
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Ramoothy Ramesh, Yu Wang, Jeffrey M. Finder, Kurt Eisenbeiser, Zhiyi Yu, Ravindranath Droopad
  • Patent number: 6743291
    Abstract: A process of fabricating a CMOS device comprised with super-steep retrograde (SSR), twin well regions, has been developed. The process features the use of two, selective epitaxial growth (SEG), procedures, with the first SEG procedure resulting in the growth of bottom silicon shapes in the PMOS, as well as in the NMOS region of the CMOS device. After implantation of the ions needed for the twin well regions, into the bottom silicon shapes, a second SEG procedure is employed resulting in growth of top silicon shapes on the underlying, implanted bottom silicon shapes. An anneal procedure then distributes the implanted ions resulting in an SSR N well region in the composite silicon shape located in the PMOS region, and resulting in an SSR P well region in the composite silicon shape located in the NMOS region of the CMOS device.
    Type: Grant
    Filed: July 9, 2002
    Date of Patent: June 1, 2004
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Chew Hoe Ang, Wenhe Lin, Jia Zhen Zheng
  • Patent number: 6737337
    Abstract: A method of manufacturing a semiconductor device includes forming a buried insulator layer of a semiconductor-on-insulator (SOI) wafer with a dopant material, such as boron, therein. The insulator material with the dopant material may be formed by a number of methods, for example by thermal oxidation of a semiconductor wafer in the presence of an atmosphere containing the dopant material, by co-deposition of the insulator material and the dopant material, or by co-implantation of an insulator material and the dopant material. The dopant material may be the same as a dopant material in at least a region (e.g., a source, drain, or channel region) of a semiconductor material layer which overlies the insulator layer. The dopant material in the buried insulator layer may advantageously reduce the tendency of dopant material to migrate from the overlying material to the insulator layer, such as during manufacturing operations involving heating.
    Type: Grant
    Filed: April 29, 2002
    Date of Patent: May 18, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Simon Siu-Sing Chan, Qi Xiang
  • Patent number: 6737332
    Abstract: The present invention is generally directed to a semiconductor device formed over a multiple thickness buried oxide layer, and various methods of making same. In one illustrative embodiment, the device comprises a bulk substrate, a multiple thickness buried oxide layer formed above the bulk substrate, and an active layer formed above the multiple thickness buried oxide layer, the semiconductor device being formed in the active layer above the multiple thickness buried oxide layer. In some embodiments, the multiple thickness buried oxide layer is comprised of a first section positioned between two second sections, the first section having a thickness that is less than the thickness of the second sections.
    Type: Grant
    Filed: March 28, 2002
    Date of Patent: May 18, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark B. Fuselier, Derick J. Wristers, Andy C. Wei
  • Patent number: 6727125
    Abstract: A multi-pattern shadow mask, shadow mask laser annealing system, and a multi-pattern shadow mask method for laser annealing are provided. The method comprises: supplying a silicon substrate; supplying a multi-pattern shadow mask with a plurality of aperture patterns; creating substrate alignment marks; with respect to the alignment marks, laser annealing a substrate region in a plurality of aperture patterns; forming a corresponding plurality of polysilicon regions; and, forming a corresponding plurality of transistor channel regions in the plurality of polysilicon regions. Typically, the shadow mask includes a plurality of sections, with each section having at least one aperture pattern. A shadow mask section can be selected to create a corresponding aperture pattern. If the mask section includes a plurality of aperture patterns, the selection of a section creates all the corresponding aperture patterns in the selected section.
    Type: Grant
    Filed: April 17, 2002
    Date of Patent: April 27, 2004
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Masahiro Adachi, Apostolos T. Voutsas
  • Patent number: 6716728
    Abstract: A radiation hardened silicon-on-insulator transistor is disclosed. A dielectric layer is disposed on a substrate, and a transistor structure is disposed on the dielectric layer. The transistor structure includes a body region, a source region, a drain region, and a gate layer. The body region is formed on a first surface portion of the dielectric layer, the source region is formed on a second surface portion of the dielectric layer contiguous with the first surface portion, the drain region is formed on a third surface portion of the dielectric layer contiguous with the first surface portion, and the gate layer overlies the body region and being operative to induce a channel in that portion of the body region disposed between and adjoining the source region and the drain region. In addition, multiple diffusions are placed across two edges of the source region.
    Type: Grant
    Filed: March 5, 2002
    Date of Patent: April 6, 2004
    Assignee: BAE Systems Information and Electronic Systems Integration, Inc.
    Inventors: Robert Dockerty, Nadim Haddad, Michael J. Hurt, Frederick T. Brady
  • Patent number: 6716727
    Abstract: Methods and apparatus are provided for plasma doping and ion implantation in an integrated processing system. The apparatus includes a process chamber, a beamline ion implant module for generating an ion beam and directing the ion beam into the process chamber, a plasma doping module including a plasma doping chamber that is accessible from the process chamber, and a wafer positioner. The positioner positions a semiconductor wafer in the path of the ion beam in a beamline implant mode and positions the semiconductor wafer in the plasma doping chamber in a plasma doping mode.
    Type: Grant
    Filed: October 26, 2001
    Date of Patent: April 6, 2004
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Steven R. Walther
  • Patent number: 6692837
    Abstract: A semi-insulating InP substrate in which a Ru-doped semi-insulating semiconductor layer is formed on the surface is provided, wherein the Ru-doped semi-insulating semiconductor layer has a complete semi-insulating property. The semiconductor optical device is fabricated by forming the Ru-doped semi-insulating semiconductor layer on a Fe-doped semi-insulating InP substrate, and forming a semiconductor crystal layer to which a p-type impurity is doped.
    Type: Grant
    Filed: May 10, 2002
    Date of Patent: February 17, 2004
    Assignee: Nippon Telegraph and Telephone Corporation
    Inventors: Ryuzo Iga, Matsuyuki Ogasawara, Susumu Kondo, Yasuhiro Kondo
  • Publication number: 20040023448
    Abstract: A process for producing an adhered SOI substrate without causing cracking and peeling of a single-crystal silicon thin film. The process consists of selectively forming a porous silicon layer in a single-crystal semiconductor substrate, adding hydrogen into the single-crystal semiconductor substrate to form a hydrogen-added layer, adhering the single-crystal semiconductor substrate to a supporting substrate, separating the single-crystal semiconductor substrate at the hydrogen-added layer by thermal annealing, performing thermal annealing again to stabilize the adhering interface, and selectively removing the porous silicon layer to give single-crystal silicon layer divided into islands.
    Type: Application
    Filed: August 4, 2003
    Publication date: February 5, 2004
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventor: Takeshi Fukunaga
  • Publication number: 20040012075
    Abstract: A method of forming a relaxed SiGe-on-insulator substrate having enhanced relaxation, significantly lower defect density and improved surface quality is provided. The method includes forming a SiGe alloy layer on a surface of a first single crystal Si layer. The first single crystal Si layer has an interface with an underlying barrier layer that is resistant to Ge diffusion. Next, ions that are capable of forming defects that allow mechanical decoupling at or near said interface are implanted into the structure and thereafter the structure including the implanted ions is subjected to a heating step which permits interdiffusion of Ge throughout the first single crystal Si layer and the SiGe layer to form a substantially relaxed, single crystal and homogeneous SiGe layer atop the barrier layer. SiGe-on-insulator substrates having the improved properties as well as heterostructures containing the same are also provided.
    Type: Application
    Filed: July 16, 2002
    Publication date: January 22, 2004
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Stephen W. Bedell, Keith E. Fogel, Devendra K. Sadana
  • Patent number: 6653209
    Abstract: To decrease the thickness of a silicon thin film to a desired value without deterioration of the quality thereof while avoiding the surface roughness due to speed increasing oxidation of crystal defect portions occurring when conducting the conventional sacrificial oxidation, effect of dust particles, etc. and also avoiding deterioration of high pressure resistance of the oxide film associated with the surface roughness. A silicon ultrathin film SOI layer is produced in the following two steps: preparing a SOI wafer having a silicon thin film, which exhibits less precipitation of oxygen, thereon by the SIMOX method or the semiconductor bonding method, and cleaning the SOI wafer with an alkali solution such as SC1 and TMAH, so as to utilize the etching action of the aqueous cleaner.
    Type: Grant
    Filed: September 28, 2000
    Date of Patent: November 25, 2003
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kenji Yamagata
  • Patent number: 6649493
    Abstract: A method for fabricating a Group III nitride film is provided, including the steps of preparing a substrate, forming an underfilm and then forming the Group III nitride film on the underfilm. The underfilm is a Group III nitride comprising at least one Group III element and includes at least 50 atomic percent of elemental Al with respect to all of the Group III elements of the Group III nitride of the underfilm. The surface of the underfilm is contoured (concave-convex) and includes flat regions, and less than 50% of the underfilm surface is occupied by the flat regions.
    Type: Grant
    Filed: November 7, 2001
    Date of Patent: November 18, 2003
    Assignee: NGK Insulators, Ltd.
    Inventors: Keiichiro Asai, Tomohiko Shibata, Yukinori Nakamura, Mitsuhiro Tanaka
  • Publication number: 20030211711
    Abstract: The object of the present invention is to provide a wafer processing method for forming ultra thin SOI and thick BOX films by implanting oxygen ion beams with different energy levels in the same silicon wafer at a low accelerating voltage. To solve this subject, the oxygen ion beams with different energy levels are irradiated in the same wafer. According to the configuration mentioned above, the SIMOX wafer including the SOI and BOX films, either of which has the same thickness, can be manufactured at a lower accelerating voltage, half of the conventional one, providing economical implantation apparatus.
    Type: Application
    Filed: March 27, 2003
    Publication date: November 13, 2003
    Inventors: Hirofumi Seki, Katsumi Tokiguchi
  • Patent number: 6642088
    Abstract: A method to form a SCR device in the manufacture of an integrated circuit device is achieved. The method comprises providing a SOI substrate comprising a silicon layer overlying a buried oxide layer. The silicon layer further comprises a first well of a first type and a second well of a second type. A first heavily doped region of the first type is formed in the second well to form an anode terminal. A second heavily doped region of the second type is formed in the first well to form a cathode terminal and to complete the SCR device. A gate isolation method is described. A salicide method is described. LVT-SCR methods, including a floating-well, LVT-SCR method, are described.
    Type: Grant
    Filed: April 10, 2002
    Date of Patent: November 4, 2003
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Ta Lee Yu
  • Patent number: 6620712
    Abstract: The present invention discloses an electro-optical device support on a substrate. The electro-optical device includes a sacrificial layer disposed on the substrate having a chamber-wall region surrounding and defining an optical chamber. The electro-optical device further includes a membrane layer disposed on top of the sacrificial layer having a chamber-removal opening surrounding and defining an electric tunable membrane for transmitting an optical signal therethrough. The electrically tunable membrane disposed on top of the optical chamber surrounded by the chamber wall regions. The chamber-wall region is doped with ion-dopants for maintaining the chamber-wall region for removal-resistance under a chamber-forming process performed through the chamber-removal opening. In a preferred embodiment, the chamber-wall region is a doped silicon dioxide region with carbon or nitrogen. In another preferred embodiment, the chamber-wall region is a nitrogen ion-doped SiNxOy region.
    Type: Grant
    Filed: November 12, 2001
    Date of Patent: September 16, 2003
    Assignee: INTPAX, Inc.
    Inventors: Liji Huang, Naiqian Han, Yahong Yao, Gaofeng Wang
  • Patent number: 6617034
    Abstract: A SOI substrate of high quality which allows LSI to be formed thereon in an improved yield and realizes excellent electric properties and a method for the production thereof are provided. The SOI substrate is obtained by forming an embedded oxide layer on a silicon single crystal substrate and forming a SOI layer for the formation of a device on the embedded oxide layer and is characterized by the SOI layer containing pit-like defects at a density of not more than 5 cm−2 or the embedded oxide layer containing pinhole defects at a density of less than one piece/cm2.
    Type: Grant
    Filed: August 1, 2000
    Date of Patent: September 9, 2003
    Assignee: Nippon Steel Corporation
    Inventors: Isao Hamaguchi, Atsushi Ikari, Atsuki Matsumura, Keisuke Kawamura, Takayuki Yano, Yoichi Nagatake
  • Patent number: 6613654
    Abstract: An integrated circuit has a multi-layer stack such as a gate stack or a digit line stack disposed on a layer comprising silicon. A conductive film is formed on the transition metal boride layer. A process for fabricating such devices can include forming the conductive film using a vapor deposition process with a reaction gas comprising fluorine. In the case of a gate stack, the transition metal boride layer can help reduce or eliminate the diffusion of fluorine atoms from the conductive film into a gate dielectric layer. Similarly, in the case of digit line stacks as well as gate stacks, the transition metal boride layer can reduce the diffusion of silicon from the polysilicon layer into the conductive film to help maintain a low resistance for the conductive film.
    Type: Grant
    Filed: December 19, 2000
    Date of Patent: September 2, 2003
    Inventors: Scott J. DeBoer, Husam N. Al-Shareef
  • Publication number: 20030139023
    Abstract: A method of forming a silicon-on-insulator (SOI) substrate having a buried oxide region that has a greater content of thermally grown oxide as compared to oxide formed by implanted oxygen ions is provided. Specifically, the inventive SOI substrate is formed by utilizing a method wherein oxygen ions are implanted into a surface of a Si-containing substrate that includes a sufficient Si thickness to allow for subsequent formation of a buried oxide region in the Si-containing substrate which has a greater content of thermally grown oxide as compared to oxide formed by the implanted oxygen ions followed by an annealing step.
    Type: Application
    Filed: January 14, 2003
    Publication date: July 24, 2003
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Keith E. Fogel, Maurice H. Norcott, Devendra K. Sadana
  • Patent number: 6593173
    Abstract: Methods of producing buried insulating layers in semiconductor substrates are disclosed whereby a dose of selected ions is implanted into a substrate to form a buried precursor layer below an upper layer of the substrate, followed by oxidation of the substrate in an atmosphere having a selected oxygen concentration to form an oxide surface layer. The oxidation is performed at a temperature and for a time duration such that the formation of the oxide layer causes the injection of a controlled number of atoms of the substrate from a region proximate to an interface between the newly formed oxide layer and the substrate into the upper regions of the substrate to reduce strain. A high temperature annealing step is then performed to produce the insulating layer within the precursor layer.
    Type: Grant
    Filed: November 28, 2000
    Date of Patent: July 15, 2003
    Assignee: Ibis Technology Corporation
    Inventors: Maria J. Anc, Robert P. Dolan
  • Patent number: 6593214
    Abstract: A photoresist is provided with an opening as a dummy pattern in a space area, i.e., a dummy region, other than a pattern of elements and circuits in one chip, thereby increasing the number of openings in the photoresist and performing ion implantation. This reduces the number of ions entering into the photoresist. As a result, the area in which the photoresist hardens due to the entering ions can be reduced, resulting in improved removability of the photoresist. The occurrence of charge-up can also be reduced. With a reduction in the area of regions other than the openings in the photoresist, a location where strong surface tension is generated can hardly be present. This allows the dimensional accuracy of the photoresist to be improved without making the photoresist thin in film thickness.
    Type: Grant
    Filed: July 29, 2002
    Date of Patent: July 15, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Motoshige Igarashi
  • Publication number: 20030119285
    Abstract: A photoresist is provided with an opening as a dummy pattern in a space area, i.e., a dummy region, other than a pattern of elements and circuits in one chip, thereby increasing the number of openings in the photoresist and performing ion implantation. This reduces the number of ions entering into the photoresist. As a result, the area in which the photoresist hardens due to the entering ions can be reduced, resulting in improved removability of the photoresist. The occurrence of charge-up can also be reduced. With a reduction in the area of regions other than the openings in the photoresist, a location where strong surface tension is generated can hardly be present. This allows the dimensional accuracy of the photoresist to be improved without making the photoresist thin in film thickness.
    Type: Application
    Filed: July 29, 2002
    Publication date: June 26, 2003
    Applicant: MITSUBISHI DENKI KABUSHIKI KAISHA
    Inventor: Motoshige Igarashi
  • Publication number: 20030104681
    Abstract: A method and structure for forming patterned SOI regions and bulk regions is described wherein a silicon containing layer over an insulator may have a plurality of selected thickness' and wherein bulk regions may be suitable to form DRAM's and SOI regions may be suitable to form merged logic such as CMOS. Ion implantation of oxygen is used to formed patterned buried oxide layers at selected depths and mask edges may be shaped to form stepped oxide regions from one depth to another. Trenches may be formed through buried oxide end regions to remove high concentrations of dislocations in single crystal silicon containing substrates. The invention overcomes the problem of forming DRAM with a storage capacitor formed with a deep, trench in bulk Si while forming merged logic regions on SOI.
    Type: Application
    Filed: October 11, 2001
    Publication date: June 5, 2003
    Inventors: Bijan Davari, Devendra Kumar Sadana, Ghavam G. Shahidi, Sandip Tiwari
  • Patent number: 6573160
    Abstract: Techniques for forming gate dielectric layers (702) overlying amorphous substrate materials are presented. In addition, techniques for low temperature processing operations that allow for the use of amorphous silicon in doping operations are presented. The amorphous silicon regions (604, 606) are formed prior to formation of structures included in the gate structure (804) of the semiconductor device, where the gate structures (804) are preferably formed using low temperature operations that allow the amorphous silicon regions (604, 606) to remain in an amorphous state. Source/drain regions (1004, 1006) are formed in the amorphous silicon regions (604, 606), and then the substrate is annealed to recrystallize the amorphous regions.
    Type: Grant
    Filed: May 26, 2000
    Date of Patent: June 3, 2003
    Assignee: Motorola, Inc.
    Inventors: William J. Taylor, Jr., Marius Orlowski, David C. Gilmer, Prasad V. Alluri, Christopher C. Hobbs, Michael J. Rendon, Iuval R. Clejan
  • Patent number: 6566198
    Abstract: A CMOS structure and method of achieving self-aligned raised source/drain for CMOS structures on SOI without relying on selective epitaxial growth of silicon. In the method, CMOS structures are provided by performing sacrificial oxidation so that oxidation occurs on the surface of both the SOI and BOX interface. This allows for oxide spacer formation for gate-to-source/drain isolation which makes possible raised source/drain fabrication without increasing contact resistance.
    Type: Grant
    Filed: March 29, 2001
    Date of Patent: May 20, 2003
    Assignee: International Business Machines Corporation
    Inventors: Heemyong Park, Fariborz Assaderaghi, Atul C. Ajmera, Ghavam G. Shahidi
  • Patent number: 6566255
    Abstract: The HF defect density in an SOI is reduced. An SOI having a thickness of 200 nm or less is annealed in an inert atmosphere at a temperature between the eutectic temperature (e.g., 966° C.) of a semiconductor metal compound (e.g., nickel silicide) formed from a metal and the semiconductor material of the crystal semiconductor of the SOI (inclusive) and the melting point of the semiconductor material (inclusive).
    Type: Grant
    Filed: September 25, 2001
    Date of Patent: May 20, 2003
    Assignee: Canon Kabushiki Kaisha
    Inventor: Masataka Ito
  • Patent number: 6548379
    Abstract: A SOI substrate includes a SiO2 film (230) having a center located at the depth of the damage peak where the crystal damage is maximum after the Si substrate (10) is ion-implanted with oxygen ions. Even if a crystal defect (240) remains at the depth of the density peak where the density is maximum, the crystal defect does not effect the device operation because it is outside the active layer. By using a low-dose SIMOX process, a lower-cost SOI substrate can be obtained wherein crystal defects formed in the active layer are reduced.
    Type: Grant
    Filed: May 29, 2001
    Date of Patent: April 15, 2003
    Assignee: NEC Corporation
    Inventor: Atsushi Ogura
  • Patent number: 6548369
    Abstract: A semiconductor-on-insulator (SOI) chip. The SOI chip having a substrate; a buried oxide (BOX) layer disposed on the substrate; and an active layer disposed on the BOX layer, the active layer divided into a first tile and a second tile, the first tile having a first thickness and the second tile having a second thickness, the second thickness being smaller than the first thickness; wherein the BOX layer is formed under the active layer in an area of the first tile by implanting oxygen ions with a first energy level and a first dosage and the BOX layer is formed under the active layer in an area of the second tile by implanting oxygen ions with a second energy level and a second dosage.
    Type: Grant
    Filed: March 20, 2001
    Date of Patent: April 15, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Ralf van Bentum
  • Patent number: 6548360
    Abstract: An electrostatic discharge protection apparatus with silicon control rectifier and the method of fabricating the apparatus. Using silicon on insulator technique, a bottom layer, a P-well, a first source/drain region, a second source/drain region and a gate are formed. A selective epitaxial growth region is selectively formed on the first source/drain region, and an N+ region is formed on the bottom layer. The lower portion of the N+ region is then adjacent to the P-well, and the upper portion of the N+ region is adjacent to the gate. Thus, a PNPN silicon control rectifier is formed, and the silicon on insulation CMOS technique is effectively transplanted into the electrostatic discharge apparatus.
    Type: Grant
    Filed: September 10, 2001
    Date of Patent: April 15, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Chiu-Tsung Huang, Wen-Kuan Yeh, Lu-Min Liu
  • Patent number: 6541356
    Abstract: A method of forming a silicon-on-insulator (SOI) substrate having a buried oxide region that has a greater content of thermally grown oxide as compared to oxide formed by implanted oxygen ions is provided. Specifically, the inventive SOI substrate is formed by utilizing a method wherein oxygen ions are implanted into a surface of a Si-containing substrate that includes a sufficient Si thickness to allow for subsequent formation of a buried oxide region in the Si-containing substrate which has a greater content of thermally grown oxide as compared to oxide formed by the implanted oxygen ions followed by an annealing step.
    Type: Grant
    Filed: May 21, 2001
    Date of Patent: April 1, 2003
    Assignee: International Business Machines Corporation
    Inventors: Keith E. Fogel, Maurice H. Norcott, Devendra K. Sadana
  • Patent number: 6531375
    Abstract: A novel method for forming substrate contact regions on a SOI substrate without requiring additional space, and in order to provide lower diffusion capacitance. The method utilizes known semiconductor processing techniques. This method for selectively modifying the BOX region of a SOI substrate involves first providing a silicon substrate. Then, ion implanting the base using SIMOX techniques (e.g. O2 implant) is accomplished. Next, the substrate is photopatterned to protect the modified BOX region. Then, further ion implanting using a “touch-up” O2 implant is accomplished, thereby resulting in a good quality BOX as typically practiced. The final step is annealing the substrate. The area of the substrate, which had a mask present, would not receive the “touch-up” O2 implant (second ion implant), which in turn would result in a leaky BOX.
    Type: Grant
    Filed: September 18, 2001
    Date of Patent: March 11, 2003
    Assignee: International Business Machines Corporation
    Inventors: Kenneth J. Giewont, Eric Adler, Neena Garg, Michael J. Hargrove, Charles W. Koburger, III, Junedong Lee, Dominic J. Schepis, Isabel Ying Yang
  • Patent number: 6528381
    Abstract: A method of forming silicide, especially in a CMOS device in which polysilicon grains in a p-type gate are re-doped with n-type impurities such as As and the like at a critical implantation dose. This increases the grain size of the polysilicon, which also reduces sheet resistance by securing thermal stability in subsequent process steps thereof. The present invention generally includes forming an undoped polysilicon layer, doping the polysilicon layer with p-type impurity ions, doping the p-doped polysilicon layer with ions that increase the grain size of the polysilicon layer by being heated, forming a metal layer on the twice-doped polysilicon layer, and forming a silicide layer by reacting a portion of the twice-doped polysilicon layer with the metal layer.
    Type: Grant
    Filed: January 29, 2001
    Date of Patent: March 4, 2003
    Assignee: Hynix Semiconductor, Inc.
    Inventors: Key-Min Lee, Jae-Gyung Ahn
  • Patent number: 6518186
    Abstract: An encapsulant molding technique used in chip-on-board encapsulation wherein a residual organic compound layer on the surface of a substrate is used to facilitate removal of unwanted encapsulant material. An organic compound layer which inherently forms on the substrate during the fabrication of the substrate or during various chip attachment processes is masked in a predetermined location with a mask. The substrate is then cleaned to remove the organic compound layer. The mask protects the masked portion of the organic material layer which becomes a release layer to facilitate gate break. An encapsulant mold is placed over the substrate and chip and an encapsulant material is injected into the encapsulant mold cavity through an interconnection channel. The release layer is formed in a position to reside as the bottom of the interconnection channel. Preferably, the interconnection channel has a gate adjacent the encapsulant mold cavity.
    Type: Grant
    Filed: May 19, 2000
    Date of Patent: February 11, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Richard W. Wensel
  • Patent number: 6506662
    Abstract: A method for forming a silicon on insulator substrate includes the step of dissociating a plasma of molecules including at least any one of oxygen and nitrogen to obtain ions. The ions are accelerated by passage through gaps between acceleration electrodes at a predetermined acceleration energy for irradiation of the accelerated ions onto a silicon substrate which is heated to form an insulation film within the silicon substrate.
    Type: Grant
    Filed: February 9, 2000
    Date of Patent: January 14, 2003
    Inventors: Atsushi Ogura, Youichirou Numasawa, Akira Doi, Masayasu Tanjyo
  • Patent number: 6495429
    Abstract: A method to control the quality of a buried oxide region, and to substantially reduce or eliminate deep divots in SOI substrates is provided. Specifically, the inventive method includes the steps of implanting oxygen ions into a surface of a Si-containing substrate; and annealing the Si-containing substrate containing the implanted oxygen ion at a temperature of about 1300° C. or above and in a chlorine-containing ambient so as to form a buried oxide region that electrically isolates a superficial Si-containing layer from a bottom Si-containing layer. The chlorine-containing ambient employed in the annealing step includes oxygen and a chlorine-containing carrier gas such as HCl, methylene chloride, trichloroethylene and trans 1,2-dichloroethane.
    Type: Grant
    Filed: January 23, 2002
    Date of Patent: December 17, 2002
    Assignee: International Business Machines Corporation
    Inventors: Michael E. Adamcek, Anthony G. Domenicucci, Stephen R. Fox, Neena Garg, Kenneth J. Giewont, Thomas R. Kupiec, Junedong Lee, Devendra K. Sadana
  • Patent number: 6486043
    Abstract: A method for forming a semiconductor devices structure includes providing a semiconductor substrate, forming a deep trench continuously in the substrate to separate a first region from a second region, and then forming a silicon-on-insulator region in the first region while maintaining a non-silicon-on-insulator region in the second region. The deep trench has a depth which is at least as deep as the depth of the buried oxide in the substrate. The invention also includes a device structure resulting from the method.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: November 26, 2002
    Assignee: International Business Machines Corporation
    Inventors: Robert Hannon, Herbert L. Ho, Subramanian Iyer, S. Sundar Kumar Iyer
  • Publication number: 20020173123
    Abstract: A method of forming a silicon-on-insulator (SOI) substrate having a buried oxide region that has a greater content of thermally grown oxide as compared to oxide formed by implanted oxygen ions is provided. Specifically, the inventive SOI substrate is formed by utilizing a method wherein oxygen ions are implanted into a surface of a Si-containing substrate that includes a sufficient Si thickness to allow for subsequent formation of a buried oxide region in the Si-containing substrate which has a greater content of thermally grown oxide as compared to oxide formed by the implanted oxygen ions followed by an annealing step.
    Type: Application
    Filed: May 21, 2001
    Publication date: November 21, 2002
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Keith E. Fogel, Maurice H. Norcott, Devendra K. Sadana
  • Patent number: 6468700
    Abstract: Transfer mask blanks and masks made using such blanks are disclosed that exhibit minimal tensile stress. Such mask blanks and masks exhibit minimal membrane distortion and pattern deformation whenever a pattern is formed on a membrane of the mask blank. After fabrication, the transfer mask blank is annealed in a N2 atmosphere to reduce the variation in boron concentration to 10% or less through the thickness dimension of the silicon membrane. The resulting uniformity in boron concentration within the silicon membrane reduces tensile stress, which in turn reduces pattern deformation. The silicon membrane is boron doped at a boron concentration in a range of 2×1019 to 5×1020 atoms/cm3. This enables the silicon membrane to act as an etch barrier for anisotropic etching using KOH solution.
    Type: Grant
    Filed: January 7, 2000
    Date of Patent: October 22, 2002
    Assignee: Nikon Corporation
    Inventor: Shin-Ichi Takahashi
  • Patent number: 6465332
    Abstract: The invention is directed to a method of manufacturing an area of a first type of conductivity extending a depth into a semiconductor substrate and having a doping gradient as a function of the depth into the semiconductor substrate. The method comprises acts of providing a semiconductor substrate of the first type of conductivity; implanting nitrogen in an upper surface of the semiconductor substrate, with a dose in a range of between approximately 5.1013 and 5.1015 at./cm2, annealing the semiconductor substrate; and growing an epitaxial layer on the substrate of the first type of conductivity having a doping level lower than the semiconductor substrate.
    Type: Grant
    Filed: January 10, 2000
    Date of Patent: October 15, 2002
    Assignee: STMicroelectronics S.A.
    Inventors: Constantin Papadas, Jorge L. Regolini, Thomas Skotnicki, André Grouillet, Christine Morin
  • Publication number: 20020132451
    Abstract: A method of manufacturing a semiconductor substrate can effectively prevent a chipping phenomenon and the production of debris from occurring in part of the insulation layer and the semiconductor by removing a outer peripheral portion of the semiconductor substrate so as to make the outer peripheral extremity of the insulation layer to be located between the outer peripheral extremity of the semiconductor layer and that of the support member and hence the semiconductor layer and the insulation layer produce a stepped profile.
    Type: Application
    Filed: May 13, 2002
    Publication date: September 19, 2002
    Inventors: Yutaka Akino, Tadashi Atoji
  • Patent number: 6448114
    Abstract: A method of fabricating a silicon-on-insulator (SOI) chip having an active layer with a non-uniform thickness. The method includes the steps of providing a substrate; providing a buried oxide layer (BOX) on the substrate; providing an active layer on the BOX layer, the active layer having an initially uniform thickness; dividing the active layer into at least a first and a second tile; and altering the thickness of the active layer in the area of the second tile. The method also includes forming a plurality of partially depleted semiconductor devices from the active layer in the area of a thicker of the first and the second tiles and forming a plurality of fully depleted semiconductor devices from the active layer in the area of a thinner of the first and the second tiles.
    Type: Grant
    Filed: April 23, 2002
    Date of Patent: September 10, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Judy Xilin An, Bin Yu, William G. En
  • Publication number: 20020123211
    Abstract: The present invention provides a method for creation of high quality semiconductor-on-insulator structures, e.g., silicon-on-insulator structures, using implantation of sub-stoichiometric doses of oxygen at multiple energies. The method employs sequential steps of ion implantation and high temperature annealing to produce structures with a top silicon layer having a thickness ranging from 10-250 nm and a buried oxide layer having a thickness 30-300 nm. The buried oxide layer has a breakdown field greater than 5 MV/cm. Further, the density of silicon inclusions in the BOX region is less than 2×107 cm−2. The process of the invention can be used to create an entire SOI wafer, or be used to create patterned SOI, regions where SOI regions are integrated with non-SOI regions.
    Type: Application
    Filed: April 30, 2002
    Publication date: September 5, 2002
    Applicant: IBIS TECHNOLOGY
    Inventors: Robert P. Dolan, Bernhardt F. Cordts, Maria J. Anc, Micahel L. Alles
  • Publication number: 20020119637
    Abstract: Damascene or non-damascene processing when used with a method that includes (a) forming a mask having an opening therethrough on a structure, said opening having sidewalls; (b) implanting an inhibiting species into said structure through the opening so as to form an inhibiting region in said structure; and (c) growing a dielectric layer on the structure in said opening, wherein the inhibiting region partially inhibits growth of the dielectric layer is capable of forming a semiconductor structure, e.g., MOSFET or anti-fuse, including a dual thickness dielectric layer. Alternatively, the dual thickness dielectric can be formed by replacing the inhibiting species mentioned above with a dielectric growth enhancement species which forms an enhancing region in the structure which aids in the growth of the dielectric layer.
    Type: Application
    Filed: February 27, 2001
    Publication date: August 29, 2002
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Claude Louis Bertin, Anthony J. Dally, John Atkinson Fifield, John Jesse Higgins, Jack Allan Mandelman, William Robert Tonti, Nicholas Martin van Heel
  • Publication number: 20020115268
    Abstract: Disclosed are an SOI substrate and a method for manufacturing the same. The SOI substrate comprises a silicon substrate including an active region defined by a field region. The field region includes a first oxygen-ion-injected isolation region having a first thickness and being formed under the active region. The center of the first region is at a first depth from a top surface of the silicon substrate. The field region of the SOI substrate further includes a second oxygen-ion-injected region having a second thickness greater than the first thickness. The second region is formed at sides of the active region and is also formed from a top surface of the silicon substrate. The center of the second ion injected region is at a second depth from the top surface of the silicon substrate. The first and second ion injected regions surround the active region for device isolation. The SOI substrate is formed by implementing two sequential ion injecting processes.
    Type: Application
    Filed: February 13, 2002
    Publication date: August 22, 2002
    Applicant: Samsung Electronics Co., Ltd.
    Inventor: Tae-Ho Jang
  • Patent number: 6429099
    Abstract: A method and semiconductor structure are provided for implementing body contacts for semiconductor-on-insulator transistors. A bulk semiconductor substrate is provided. A mask is applied to the bulk semiconductor substrate to block an insulating implant layer in selected regions. The selected regions provide for body contact for transistors. Holes are formed extending into the bulk semiconductor substrate. The holes are filled with an electrically conductive material to create stud contacts to the bulk semiconductor substrate. In the preferred embodiment, the semiconductor-on-insulator is silicon on an oxide insulating layer and the invention provides a body contact for SOI transistors.
    Type: Grant
    Filed: January 5, 2000
    Date of Patent: August 6, 2002
    Assignee: International Business Machines Corporation
    Inventors: Todd Alan Christensen, John Edward Sheets, II
  • Publication number: 20020098664
    Abstract: The present invention provides a method of producing SOI materials. The method involves implanting oxygen ions in a silicon substrate to form an implanted region at a relatively shallow depth using a plasma implantation step. The substrate is then annealed at elevated temperatures to convert the implanted region to an insulating layer which may be beneath a thin silicon seed layer. A silicon layer is grown, preferably epitaxially, on the thin silicon seed layer to provide a high quality single crystal in which devices may be formed. The SOI materials are suitable for use as substrates in a wide variety of SOI applications.
    Type: Application
    Filed: January 23, 2001
    Publication date: July 25, 2002
    Inventor: Ziwei Fang
  • Patent number: 6417078
    Abstract: The present invention provides a method for creation of high quality semiconductor-on-insulator structures, e.g., silicon-on-insulator structures, using implantation of sub-stoichiometric doses of oxygen at multiple energies. The method employs sequential steps of ion implantation and high temperature annealing to produce structures with a top silicon layer having a thickness ranging from 10-250 nm and a buried oxide layer having a thickness 30-300 nm. The buried oxide layer has a breakdown field greater than 5 MV/cm. Further, the density of silicon inclusions in the BOX region is less than 2×107 cm−2. The process of the invention can be used to create an entire SOI wafer, or be used to create patterned SOI, regions where SOI regions are integrated with non-SOI regions.
    Type: Grant
    Filed: December 21, 2000
    Date of Patent: July 9, 2002
    Assignee: Ibis Technology Corporation
    Inventors: Robert P. Dolan, Bernhardt F. Cordts, III, Maria J. Anc, Micahel L. Alles
  • Publication number: 20020081824
    Abstract: The present invention provides a method for creation of high quality semiconductor-on-insulator structures, e.g., silicon-on-insulator structures, using implantation of sub-stoichiometric doses of oxygen at multiple energies. The method employs sequential steps of ion implantation and high temperature annealing to produce structures with a top silicon layer having a thickness ranging from 10-250 nm and a buried oxide layer having a thickness 30-300 nm. The buried oxide layer has a breakdown field greater than 5 MV/cm. Further, the density of silicon inclusions in the BOX region is less than 2×107 cm−2. The process of the invention can be used to create an entire SOI wafer, or be used to create patterned SOI, regions where SOI regions are integrated with non-SOI regions.
    Type: Application
    Filed: December 21, 2000
    Publication date: June 27, 2002
    Applicant: Ibis Technology, Inc.
    Inventors: Robert P. Dolan, Bernhardt Cordts, Maria J. Anc, Michael L. Alles
  • Patent number: 6410407
    Abstract: A semiconductor product includes a silicon-containing functional layer, an insulating layer made of silicon dioxide, and a stop layer made of silicon nitride, which is disposed between the functional layer and the insulating layer and bonds the functional layer to the insulating layer. The stop layer acts as a diffusion barrier between the functional layer and the insulating layer. A method for fabricating this product starts out with a blank part having the functional layer and the insulating layer. The stop layer is formed by implanting nitrogen into the insulating layer and subsequently heat treating the blank part. As a result of the heat treatment the nitrogen diffuses to the functional layer where it bonds to the silicon in order to form the stop layer.
    Type: Grant
    Filed: March 30, 2000
    Date of Patent: June 25, 2002
    Assignee: Infineon Technologies AG
    Inventors: Stephan Pindl, Markus Biebl
  • Publication number: 20020072200
    Abstract: Integrated circuits, semiconductor devices and methods for making the same are described. Each embodiment shows a diffused, doped backside layer in a device wafer that is oxide bonded to a handle wafer. The diffused layer may originate in the device handle, in the handle wafer, in the bond oxide or in an additional semiconductor layer of polysilicon or epitaxial silicon. The methods use a thermal bond oxide or a combination of a thermal and deposited oxide.
    Type: Application
    Filed: September 24, 2001
    Publication date: June 13, 2002
    Applicant: Harris Corporation
    Inventors: Joseph A. Czagas, Dustin A. Woodbury, James D. Beasom
  • Patent number: 6383897
    Abstract: In a method of producing a semiconductor apparatus, when a thin film is formed on a semiconductor substrate in the CVD reactive chamber by the CVD method, a remaining region is provided where a gas for film formation remains to a proximity of a surface of the semiconductor substrate, and a CVD thin film is provided on the substrate by decomposing only the gas for film formation existing in the remaining region without supplying an additional gas from the outside of the remaining region to the remaining region. With the method, when the thin film is formed on the substrate by the CVD method, the thin film is efficiently deposited on the substrate in a reactive chamber by efficiently using a reactive gas for film formation introduced into a CVD reactive chamber, to thereby reduce cost of forming the thin film remarkably.
    Type: Grant
    Filed: January 26, 2001
    Date of Patent: May 7, 2002
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yuichi Mikata