Fluid Growth From Gaseous State Combined With Preceding Diverse Operation Patents (Class 438/503)
  • Patent number: 7557027
    Abstract: A method of depositing a structural SiGe layer is presented. The structural SiGe layer may be located on top of a sacrificial layer above a substrate. The substrate may contain a semiconductor device such as a CMOS electronic circuit. The presented method uses a silicon source and a germanium source in a reaction zone to grow the structural SiGe layer. Hydrogen is introduced into the reaction zone and it may be used to dilute the silicon source and the germanium source. The resultant reaction occurs at temperatures below 450 degrees C., thereby preventing degradation of electronic device and/or other devices/materials located in the substrate.
    Type: Grant
    Filed: January 24, 2006
    Date of Patent: July 7, 2009
    Assignee: Interuniversitair Microelektronica Centrum
    Inventors: Ann Witvrouw, Maria Gromova, Marc Schaekers, Serge Vanhaelemeersch, Brenda Eyckens
  • Publication number: 20090170294
    Abstract: [Problem to be Solved] To film deposit a group III nitride such as GaN using atmospheric pressure plasma. [Solving Means] A reactor chamber 12 is filled with a pure nitrogen of approximately atmospheric pressure of about 40 kPa. A c-face sapphire substrate 90 is placed on an electrode 14. The substrate temperature is brought to 650 degree centigrade by a heater 15. An electric field is applied between electrodes 13, 14 to form a discharge space 11a therebetween. In a gas feed system 20, a small quantity of trimethylgallium is added to N2, the resultant is fed into a discharge space 11a and brought into contact with the sapphire substrate 90. A V/III ratio on the substrate 90 is brought into a range of from 10 to 100000.
    Type: Application
    Filed: August 3, 2006
    Publication date: July 2, 2009
    Inventors: Takahiro Nagata, Toyohiro Chikyo, Tsuyoshi Uehara
  • Patent number: 7553742
    Abstract: A method of forming a thin layer including providing a first single-crystalline silicon layer partially exposed through an opening in an insulation pattern and forming an epitaxial layer on the first single-crystalline silicon layer and forming an amorphous silicon layer on the insulation pattern, the amorphous silicon layer having a first portion adjacent the epitaxial layer and a second portion spaced apart from the first portion, wherein the amorphous silicon layer is formed on the insulation pattern at substantially the same rate at the first portion and at a second portion. The amorphous silicon layer may be formed to a uniform thickness without a thinning defect.
    Type: Grant
    Filed: January 11, 2006
    Date of Patent: June 30, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Hoon Son, Yu-Gyun Shin, Jong-Wook Lee
  • Publication number: 20090151623
    Abstract: A method and system for forming high-quality epitaxial films. In one embodiment, the method includes cleaning a substrate, reducing adsorbed moisture on the substrate in a predefined temperature and predefined oxygen level atmosphere, and removing native oxide from the substrate. The method also includes prebaking the substrate and growing an epitaxial layer doped with an impurity, wherein the impurity has a nano-impurity profile.
    Type: Application
    Filed: December 12, 2007
    Publication date: June 18, 2009
    Applicant: ATMEL CORPORATION
    Inventor: Darwin Gene Enicks
  • Patent number: 7547617
    Abstract: Methods for growing epitaxial silicon are provided. Methods for controlling bottom stacking fault propagation in epitaxial silicon are also provided.
    Type: Grant
    Filed: July 14, 2006
    Date of Patent: June 16, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Nirmal Ramaswamy, Cem Basceri
  • Publication number: 20090149008
    Abstract: Embodiments of the invention generally relate to methods for forming Group III-V materials by a hydride vapor phase epitaxy (HVPE) process. In one embodiment, a method for forming a gallium nitride material on a substrate within a processing chamber is provided which includes heating a metallic source to form a heated metallic source, wherein the heated metallic source contains gallium, aluminum, indium, alloys thereof, or combinations thereof, exposing the heated metallic source to chlorine gas while forming a metallic chloride gas, exposing the substrate to the metallic chloride gas and a nitrogen precursor gas while forming a metal nitride layer on the substrate during the HVPE process. The method further provides exposing the substrate to chlorine gas during a pretreatment process prior to forming the metal nitride layer. In one example, the exhaust conduit of the processing chamber is heated to about 200° C. or less during the pretreatment process.
    Type: Application
    Filed: October 2, 2008
    Publication date: June 11, 2009
    Inventors: Olga Kryliouk, Sandeep Nijhawan, Yuriy Melnik, Lori D. Washington, Jacob W. Grayson, Sung W. Jun, Jie Su
  • Publication number: 20090146133
    Abstract: A method for the fabrication of a semiconductor structure that includes areas that have different crystalline orientation and semiconductor structure formed thereby. The disclosed method allows fabrication of a semiconductor structure that has areas of different semiconducting materials. The method employs templated crystal growth using a Vapor-Liquid-Solid (VLS) growth process. A silicon semiconductor substrate having a first crystal orientation direction is etched to have an array of holes into its surface. A separation layer is formed on the inner surface of the hole for appropriate applications. A growth catalyst is placed at the bottom of the hole and a VLS crystal growth process is initiated to form a nanowire. The resultant nanowire crystal has a second different crystal orientation which is templated by the geometry of the hole.
    Type: Application
    Filed: December 10, 2008
    Publication date: June 11, 2009
    Inventors: Mikael T. Bjoerk, Oliver Hayden, Heike E. Riel, Walter Heinrich Riess, Heinz Schmid
  • Patent number: 7538015
    Abstract: Disclosed herein are a method of producing microstructure and a method of producing mold, the methods permitting production of much smaller pores than before in an atmosphere where impurities are negligible and also permitting production of microstructures having a smaller size and a higher crystallinity than before with the help of the pores. The method of producing microstructure comprises a step of making pores (4) in a substrate (1) to become a mold (5) by irradiation with a focused energy beam (3) and a step of growing a microstructure (8) in the thus made pores (4). The method of producing a mold includes a step of making pores (4) by irradiating a substrate (1) to become a mold (5) with a focused energy beam (3).
    Type: Grant
    Filed: November 25, 2003
    Date of Patent: May 26, 2009
    Assignee: Sony Corporation
    Inventors: Koji Kadono, Yosuke Murakami
  • Patent number: 7538010
    Abstract: A method of forming an epitaxially grown layer by providing a support substrate that includes a region of weakness therein to define a support portion and a remainder portion on opposite sides of the region of weakness. The region of weakness comprises atomic species implanted in the support substrate to facilitate detachment of the support portion from the remainder portion. The method also includes epitaxially growing an epitaxially grown layer in association with the support portion.
    Type: Grant
    Filed: November 22, 2005
    Date of Patent: May 26, 2009
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Bruce Faure, Lea Di Cioccio
  • Publication number: 20090127541
    Abstract: Reducing defects in semiconductor quantum well structures is generally described. In one example, an apparatus includes a semiconductor substrate including silicon, a buffer film epitaxially grown on the semiconductor substrate, the buffer film comprising silicon, germanium, and an impurity, and a first semiconductor film epitaxially grown on the buffer film wherein a lattice mismatch exists between the semiconductor substrate and the first semiconductor film and wherein the impurity disrupts lattice structure dislocation gliding in at least the first semiconductor film.
    Type: Application
    Filed: November 19, 2007
    Publication date: May 21, 2009
    Applicant: INTEL CORPORATION
    Inventors: Prashant Majhi, Wilman Tsai, Jack T. Kavalieros
  • Publication number: 20090130829
    Abstract: Provided are a manufacturing method of a semiconductor device and a substrate processing apparatus.
    Type: Application
    Filed: November 18, 2008
    Publication date: May 21, 2009
    Inventors: Takaaki Noda, Masami Miyamoto, Ryuji Yamamoto
  • Publication number: 20090124067
    Abstract: A method and apparatus for a backside metallization of a wafer is provided. The wafer comprised of a first substance is bent by creating tension on a backside and creating compression on a front side prior to deposition of a thin film of a second substance. After deposition, the wafer is released and the thin film deposited on the wafer exhibits less tensile stress than if the thin film was deposited on a flat wafer.
    Type: Application
    Filed: November 14, 2007
    Publication date: May 14, 2009
    Inventors: Andrew N. Contes, Eric J. Li, Arturo Urquiza
  • Patent number: 7524741
    Abstract: A method of forming a low temperature-grown buffer layer having the steps of: placing a Ga2O3 substrate in a MOCVD apparatus; providing a H2 atmosphere in the MOCVD apparatus and setting a buffer layer growth condition having an atmosphere temperature of 350° C. to 550° C.; and supplying a source gas having two or more of TMG, TMA and NH3 onto the Ga2O3 substrate in the buffer layer growth condition to form the low temperature-grown buffer layer on the Ga2O3 substrate.
    Type: Grant
    Filed: March 31, 2006
    Date of Patent: April 28, 2009
    Assignees: Toyoda Gosei Co., Ltd., Koha Co., Ltd.
    Inventors: Yasuhisa Ushida, Daisuke Shinoda, Daisuke Yamazaki, Koji Hirata, Yuhei Ikemoto, Naoki Shibata, Kazuo Aoki, Encarnacion Antonia Garcia Villora, Kiyoshi Shimamura
  • Patent number: 7524742
    Abstract: A process and structure for a metal interconnect includes providing a substrate with a first electric conductor, forming a first dielectric layer and a first patterned hard mask, using the first patterned hard mask to form a first opening and a second electric conductor, forming a second dielectric layer and a second patterned hard mask, using the second patterned hard mask as an etching mask and using a first patterned hard mask as an etch stop layer to form a second opening and a third electric conductor.
    Type: Grant
    Filed: May 14, 2007
    Date of Patent: April 28, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Pei-Yu Chou, Chun-Jen Huang
  • Patent number: 7517775
    Abstract: The invention generally teaches a method for depositing a silicon film or silicon germanium film on a substrate comprising placing the substrate within a process chamber and heating the substrate surface to a temperature in the range from about 600° C. to about 900° C. while maintaining a pressure in the range from about 0.1 Torr to about 200 Torr. A deposition gas is provided to the process chamber and includes SiH4, an optional germanium source gas, an etchant, a carrier gas and optionally at least one dopant gas. The silicon film or the silicon germanium film is selectively and epitaxially grown on the substrate. One embodiment teaches a method for depositing a silicon-containing film with an inert gas as the carrier gas. Methods may include the fabrication of electronic devices utilizing selective silicon germanium epitaxial films.
    Type: Grant
    Filed: May 30, 2006
    Date of Patent: April 14, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Yihwan Kim, Arkadii V. Samoilov
  • Patent number: 7510906
    Abstract: A diamond substrate and a method for fabricating the same are provided wherein a SiC layer is formed on a lower surface of a diamond layer for preventing the diamond layer from being deformed after the process of forming the diamond substrate, and then a semiconductor layer is formed on the diamond layer or directly formed on the surface of the SiC layer. Thereby, the lattice mismatch between the diamond film layer and the semiconductor layer is mitigated by the SiC layer, and the crystalline quality of the semiconductor layer is improved, the fabricating process of the diamond substrate is simplified, and the performance and stability are enhanced.
    Type: Grant
    Filed: April 26, 2006
    Date of Patent: March 31, 2009
    Assignee: Kinik Company
    Inventors: Hsiao-Kuo Chang, Jen-Sheuan Huang, Chih-Peng Chen, Na-Ling Chen, Shih-Pang Wen
  • Patent number: 7504324
    Abstract: A growth plane of substrate 1 is processed to have a concavo-convex surface. The bottom of the concave part may be masked. When a crystal is grown by vapor phase growth using this substrate, an ingredient gas does not sufficiently reach the inside of a concave part 12, and therefore, a crystal growth occurs only from an upper part of a convex part 11. As shown in FIG. 1(b), therefore, a crystal unit 20 occurs when the crystal growth is started, and as the crystal growth proceeds, films grown in the lateral direction from the upper part of the convex part 11 as a starting point are connected to cover the concavo-convex surface of the substrate 1, leaving a cavity 13 in the concave part, as shown in FIG. 1(c), thereby giving a crystal layer 2, whereby the semiconductor base of the present invention is obtained. In this case, the part grown in the lateral direction, or the upper part of the concave part 12 has a low dislocation region and the crystal layer prepared has high quality.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: March 17, 2009
    Assignee: Mitsubishi Chemical Corporation
    Inventors: Kazuyuki Tadatomo, Hiroaki Okagawa, Yoichiro Ouchi, Masahiro Koto
  • Publication number: 20090068822
    Abstract: Provided is a method for preparing a substrate for growing gallium nitride and a gallium nitride substrate. The method includes performing thermal cleaning on a surface of a silicon substrate, forming a silicon nitride (Si3N4) micro-mask on the surface of the silicon substrate in an in situ manner, and growing a gallium nitride layer through epitaxial lateral overgrowth (ELO) using an opening in the micro-mask. According to the method, by improving the typical ELO, it is possible to simplify the method for preparing the substrate for growing gallium nitride and the gallium nitride substrate and reduce process cost.
    Type: Application
    Filed: July 22, 2008
    Publication date: March 12, 2009
    Inventors: Yong-Jin KIM, Ji-Hoon KIM, Dong-Kun LEE, Doo-Soo KIM, Ho-Jun LEE
  • Publication number: 20090053453
    Abstract: A structure including a substrate, an intermediate layer provided and formed directly onto the substrate, a transition region, and a group II-VI bulk crystal material provided and formed as an extension of the transition region. The transition region acts to change the structure from the underlying substrate to that of the bulk crystal. In a method of manufacture, a similar technique can be used for growing the transition region and the bulk crystal layer.
    Type: Application
    Filed: December 21, 2006
    Publication date: February 26, 2009
    Applicant: DURHAM SCIENTIFIC CRYSTALS LIMITED
    Inventors: Arnab Basu, Max Robinson, Ben Cantwell, Andy Brinkman
  • Publication number: 20090050928
    Abstract: A zinc-blende nitride semiconductor free-standing substrate has a front surface and a back surface opposite the front surface. The distance between the front and back surfaces is not less than 200 ?m. The area ratio of the zinc-blende nitride semiconductor to the front surface is not less than 95%.
    Type: Application
    Filed: December 12, 2007
    Publication date: February 26, 2009
    Applicant: HITACHI CABLE, LTD.
    Inventor: Hajime Fujikura
  • Publication number: 20090045394
    Abstract: A method of manufacturing a semiconductor device comprises depositing a semiconductor layer over a semiconductor surface having at least one first region with a first (average surface lattice) parameter value and at least one second region having a second parameter value different from the first. The semiconductor layer is deposited to a thickness so self-organised islands form over both the first and second regions. The difference in the parameter value means the islands over the first region have a first average parameter value and the islands over the second region have a second average parameter value different from the first. A capping layer is deposited over islands and has a greater forbidden bandgap than the islands whereby the islands form quantum dots, which have different properties over the first and second regions due to difference(s) between the first and second region islands.
    Type: Application
    Filed: August 12, 2008
    Publication date: February 19, 2009
    Inventors: Tim Michael Smeeton, Katherine Louise Smith, Mathieu Xavier Senes, Stewart Edward Hooper
  • Patent number: 7482235
    Abstract: A semiconductor device with an elevated source/drain structure provided in each predetermined position defined by the oxide film and gate wiring on a semiconductor silicon substrate, where an orthographic projection image of a shape of an upper end portion of the elevated source/drain structure on the semiconductor silicon substrate along the direction normal to the semiconductor silicon substrate is substantially in agreement with a predetermined shape defined by the corresponding oxide film and gate wiring on the semiconductor silicon substrate, and at least one of orthographic projection images of cross-sections taken along planes parallel with the semiconductor silicon substrate of the elevated source/drain structure on the semiconductor silicon substrate along the direction normal to the semiconductor silicon substrate is larger than the predetermined shape defined by the corresponding oxide film and gate wiring on the semiconductor silicon substrate.
    Type: Grant
    Filed: April 25, 2006
    Date of Patent: January 27, 2009
    Assignee: Elpida Memory Inc.
    Inventor: Fumiki Aiso
  • Publication number: 20090017603
    Abstract: A method of forming an epitaxial layer on a silicon substrate includes (a) providing a silicon substrate; (b) performing a wet-cleaning process onto the silicon substrate; (c) performing a first plasma cleaning process onto the wet-cleaned silicon substrate by providing a chlorine (Cl2) gas and an argon (Ar) gas; and (d) forming an epitaxial growth film on the silicon substrate after the (c) step.
    Type: Application
    Filed: July 10, 2008
    Publication date: January 15, 2009
    Applicant: Jusung Engineering Co., Ltd.
    Inventor: Cheol-Hoon Yang
  • Publication number: 20080308815
    Abstract: Affords a GaN substrate from which enhanced-emission-efficiency light-emitting and like semiconductor devices can be produced, an epi-substrate in which an epitaxial layer has been formed on the GaN substrate principal surface, a semiconductor device, and a method of manufacturing the GaN substrate. The GaN substrate is a substrate having a principal surface with respect to whose normal vector the [0001] plane orientation is inclined in two different off-axis directions.
    Type: Application
    Filed: June 13, 2008
    Publication date: December 18, 2008
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Hitoshi Kasai, Keiji Ishibashi, Seiji Nakahata, Katsushi Akita, Takashi Kyono, Yoshiki Miura
  • Publication number: 20080299748
    Abstract: Favorable-quality III-V crystals are easily obtained at low cost without causing cracks, even when using a variety of substrates. The III-V crystals are obtained by manufacturing method characterized in including: a step of depositing a metal film (2) on a substrate (1); a step of heat-treating the metal film (2) in an atmosphere in which a patterning compound is present; and a step of growing a group III-V crystal (4) on the metal film after the heat treatment. Alternatively, the III-V crystal manufacturing method is characterized in including: a step of growing a group III-V compound buffer film on the metal film after the heat treatment; and a step of growing a group III-V crystal on the group III-V compound buffer film.
    Type: Application
    Filed: August 8, 2008
    Publication date: December 4, 2008
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Seiji Nakahata, Koji Uematsu, Ryu Hirota
  • Patent number: 7456034
    Abstract: A nitride semiconductor device comprises: a well layer of nitride semiconductor containing In and Ga; barrier layers of nitride semiconductor sandwiching the well layer, containing Al and Ga, and having a larger band gap energy than the well layer; and a thin film layer provided between the well layer and the barrier layer. The thin film layer is formed during lowering of the substrate temperature after formation of the barrier layer or during elevation of the substrate temperature after formation of the well layer.
    Type: Grant
    Filed: May 22, 2006
    Date of Patent: November 25, 2008
    Assignee: Panasonic Corporation
    Inventors: Norio Ikedo, Yasuyuki Fukushima, Masaaki Yuri
  • Patent number: 7439142
    Abstract: In one embodiment, a method for forming a silicon-based material on a substrate having dielectric materials and source/drain regions thereon within a process chamber is provided which includes exposing the substrate to a first process gas comprising silane, methylsilane, a first etchant, and hydrogen gas to deposit a first silicon-containing layer thereon. The first silicon-containing layer may be selectively deposited on the source/drain regions of the substrate while the first silicon-containing layer may be etched away on the surface of the dielectric materials of the substrate. Subsequently, the process further provides exposing the substrate to a second process gas comprising dichlorosilane and a second etchant to deposit a second silicon-containing layer selectively over the surface of the first silicon-containing layer on the substrate.
    Type: Grant
    Filed: October 9, 2006
    Date of Patent: October 21, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Arkadii V. Samoilov, Yihwan Kim, Errol Sanchez, Nicholas C. Dalida
  • Publication number: 20080242064
    Abstract: To provide a manufacturing method of a semiconductor device capable of performing a selective growth at a low temperature. A manufacturing method of a semiconductor device for placing in a processing chamber a substrate having at least a silicon surface and an insulating film surface on a surface; and allowing an epitaxial film to selectively grow only on the silicon surface by using a substrate processing apparatus for heating an atmosphere in the processing chamber and the substrate, using a hearting unit disposed outside of the processing chamber, includes a substrate loading step of loading the substrate into the processing chamber; a pre-processing step of supplying dichlorsilane gas and hydrogen gas into the processing chamber while maintaining a temperature in the substrate processing chamber to a prescribed temperature of 700° C.
    Type: Application
    Filed: April 1, 2008
    Publication date: October 2, 2008
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Yasuhiro INOKUCHI, Atsushi MORIYA, Yasuhiro OGAWA
  • Publication number: 20080213536
    Abstract: The invention provides a method for manufacturing the silicon carbide single crystal wafer capable of improving the utilization ratio of the bulk silicon carbide single crystal, capable of improving characteristics of the element and capable of improving cleavability, and the silicon carbide single crystal wafer obtained by the manufacturing method. An ?(hexagonal)-silicon carbide single crystal wafer which has a flat homoepitaxial growth surface with a surface roughness of 2 nm or less and which has an off-angle from the (0001)c plane of 0.4° or less.
    Type: Application
    Filed: August 24, 2005
    Publication date: September 4, 2008
    Applicant: BRIDGESTONE CORPORATION
    Inventors: Takayuki Maruyama, Toshimi Chiba
  • Publication number: 20080203460
    Abstract: The invention relates to a manufacturing method for a structure comprising semi-conductor material nanocrystals on a dielectric material substrate by chemical vapour deposition (CVD), the nanocrystals being covered by a layer of semi-conductor material nitride. The method comprises a step for forming stable nuclei on the substrate by CVD from a first gaseous precursor of the nuclei; a step of nanocrystal growth from stable nuclei by CVD from a second gaseous precursor; and a step for forming a layer of semi-conductor material nitride on the nanocrystals. The method is characterised in that the passivation step is carried out by selective and stoichiometric CVD of semi-conductor material nitride only on the nanocrystals from a mixture of the second and a third gaseous precursor selected to cause selective and stoichiometric deposition of the nitride only on said nanocrystals, wherein steps for forming the nuclei, forming the nanocrystals and passivation are carried out inside a same, single chamber.
    Type: Application
    Filed: December 14, 2007
    Publication date: August 28, 2008
    Applicant: COMMISSARIAT A L'ENERGIE ATOMIQUE
    Inventor: Jean-Philippe Colonna
  • Publication number: 20080191317
    Abstract: Disclosed herein is a method of forming a nanostructure having nanowires by forming a mask with at least one opening on a surface of a substrate, to expose a portion of the surface of the substrate; depositing particles of a metal capable of catalyzing semiconductor nanowire growth on the exposed surface of the substrate by electroplating or electroless plating; and growing nanowires on the plated substrate with a precursor gas by a vapor-liquid-solid (VLS) process. Also disclosed is a nanostructure including nanowires prepared by the above method.
    Type: Application
    Filed: February 13, 2007
    Publication date: August 14, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Guy Moshe Cohen, Hariklia Deligianni, Qiang Huang, Lubomyr T. Romankiw
  • Patent number: 7407872
    Abstract: Highly ordered and aligned epitaxy of III-Nitride nanowires is demonstrated in this work. <1010> M-axis is identified as a preferential nanowire growth direction through a detailed study of GaN/AlN trunk/branch nanostructures by transmission electron microscopy. Crystallographic selectivity can be used to achieve spatial and orientational control of nanowire growth. Vertically aligned (Al)GaN nanowires are prepared on M-plane AlN substrates. Horizontally ordered nanowires, extending from the M-plane sidewalls of GaN hexagonal mesas or islands demonstrate new opportunities for self-aligned nanowire devices, interconnects, and networks.
    Type: Grant
    Filed: August 19, 2005
    Date of Patent: August 5, 2008
    Assignee: Yale University
    Inventors: Jung Han, Jie Su
  • Publication number: 20080182393
    Abstract: Semiconductor materials including a gallium nitride material region and methods associated with such structures are provided. The semiconductor structures include a strain-absorbing layer formed within the structure. The strain-absorbing layer may be formed between the substrate (e.g., a silicon substrate) and an overlying layer. It may be preferable for the strain-absorbing layer to be very thin, have an amorphous structure and be formed of a silicon nitride-based material. The strain-absorbing layer may reduce the number of misfit dislocations formed in the overlying layer (e.g., a nitride-based material layer) which limits formation of other types of defects in other overlying layers (e.g., gallium nitride material region), amongst other advantages. Thus, the presence of the strain-absorbing layer may improve the quality of the gallium nitride material region which can lead to improved device performance.
    Type: Application
    Filed: January 31, 2008
    Publication date: July 31, 2008
    Applicant: Nitronex Corporation
    Inventors: Edwin L. Piner, John C. Roberts, Pradeep Rajagopal
  • Publication number: 20080157123
    Abstract: Group III nitride layers have a wide range of uses in electronics and optoelectronics. Such layers are generally grown on substrates such as sapphire, SiC and recently Si(111). For the purpose inter alia of integration with Si-CMOS electronics, growth on Si(001) is indicated, which is possible only with difficulty because of the different symmetries and is currently limited solely to misoriented Si(001) substrates, which restricts the range of use. In addition, the layer quality is not at present equal to that produced on Si(111) material. Growth on exactly oriented Si(001) and an improvement in material quality can now be simply achieved by a modification of the surface structure possible with a plurality of methods.
    Type: Application
    Filed: November 28, 2007
    Publication date: July 3, 2008
    Inventors: Fabian Schulze, Armin Dadgar, Alois Krost
  • Publication number: 20080142846
    Abstract: The present invention relates to a nitride semiconductor substrate such as gallium nitride substrate and a method for manufacturing the same. The present invention forms a plurality of trenches on a lower surface of a base substrate that are configured to absorb or reduce stresses applied larger when growing a nitride semiconductor film on the base substrate from a central portion of the base substrate towards a peripheral portion. That is, the present invention forms the trenches on the lower surface of the base substrate such that pitches get smaller or widths or depths get larger from the central portion of the base substrate towards the peripheral portion.
    Type: Application
    Filed: December 14, 2007
    Publication date: June 19, 2008
    Inventors: Doo-Soo Kim, Ho-Jun Lee, Yong-Jin Kim, Dong-Kun Lee
  • Publication number: 20080132044
    Abstract: Affords a manufacturing method enabling nitride-based semiconductor devices containing epitaxial films excelling in flatness and crystallinity to be easily produced. Method of manufacturing nitride semiconductor devices that are formed onto a semiconductor substrate being a compound containing nitrogen, and a Group IIIA element for forming compounds with nitrogen, including steps of: heating the semiconductor substrate (1) to a film-deposition temperature; supplying to the substrate a film-deposition gas containing a source gas for the Group IIIA element and a nitrogen source gas; and epitaxially growing onto the semiconductor substrate a thin film (2) of a compound containing nitrogen and the Group IIIA element; and being furnished with a step, in advance of the epitaxial growth step, of heating the semiconductor substrate to a pretreating temperature less than the film-deposition temperature, to clean the surface of the semiconductor substrate.
    Type: Application
    Filed: December 17, 2007
    Publication date: June 5, 2008
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Takashi Kyono, Masaki Ueno
  • Patent number: 7368368
    Abstract: In one embodiment the present invention is a method of conducting multiple step multiple chamber chemical vapor deposition while avoiding reactant memory in the relevant reaction chambers. The method includes depositing a layer of semiconductor material on a substrate using vapor deposition in a first deposition chamber followed by evacuation of the growth chamber to reduce vapor deposition source gases remaining in the first deposition chamber after the deposition growth and prior to opening the chamber. The substrate is transferred to a second deposition chamber while isolating the first deposition chamber from the second deposition chamber to prevent reactants present in the first chamber from affecting deposition in the second chamber and while maintaining an ambient that minimizes or eliminates growth stop effects. After the transferring step, an additional layer of a different semiconductor material is deposited on the first deposited layer in the second chamber using vapor deposition.
    Type: Grant
    Filed: August 18, 2004
    Date of Patent: May 6, 2008
    Assignee: Cree, Inc.
    Inventor: David Todd Emerson
  • Patent number: 7341844
    Abstract: The invention relates to the use of Reelin as a marker for diagnosing psychiatric conditions. The disclosed tools and techniques can facilitate the diagnosis of psychiatric disorders including major depression, bipolar disorder, schizophrenia and autism.
    Type: Grant
    Filed: January 16, 2003
    Date of Patent: March 11, 2008
    Assignee: Regents of the University of Minnesota
    Inventor: S. Hossein Fatemi
  • Patent number: 7329593
    Abstract: A method comprises, in a reaction chamber, depositing a seed layer of germanium over a silicon-containing surface at a first temperature. The seed layer has a thickness between about one monolayer and about 1000 ?. The method further comprises, after depositing the seed layer, increasing the temperature of the reaction chamber while continuing to deposit germanium. The method further comprises holding the reaction chamber in a second temperature range while continuing to deposit germanium. The second temperature range is greater than the first temperature.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: February 12, 2008
    Assignee: ASM America, Inc.
    Inventors: Matthias Bauer, Paul Brabant, Trevan Landin
  • Patent number: 7320931
    Abstract: Methods and apparatus are provided for depositing a layer of pure germanium can on a silicon substrate. This germanium layer is very thin, on the order of about 14 ?, and is less than the critical thickness for pure germanium on silicon. The germanium layer serves as an intermediate layer between the silicon substrate and the high k gate layer, which is deposited on the germanium layer. The germanium layer helps to avoid the development of an oxide interfacial layer during the application of the high k material. Application of the germanium intermediate layer in a semiconductor structure results in a high k gate functionality without the drawbacks of series capacitance due to oxide impurities. The germanium layer further improves mobility.
    Type: Grant
    Filed: July 30, 2004
    Date of Patent: January 22, 2008
    Assignee: Freescale Semiconductor Inc.
    Inventors: Shawn G. Thomas, Vida Ilderem, Papu D. Maniar
  • Patent number: 7300859
    Abstract: A plasma is produced in a treatment space (58) by diffusing a plasma gas at atmospheric pressure and subjecting it to an electric field created by two metallic electrodes (54,56) separated by a dielectric material (64), a precursor material is introduced into the treatment space to coat a substrate film or web (14) by vapor deposition or atomized spraying at atmospheric pressure. The deposited precursor is cured by electron-beam, infrared-light, visible-light, or ultraviolet-light radiation, as most appropriate for the particular material being deposited. Additional plasma post-treatment may be used to enhance the properties of the resulting coated products.
    Type: Grant
    Filed: June 7, 2006
    Date of Patent: November 27, 2007
    Assignee: Sigma Laboratories of Arizona, LLC
    Inventors: Michael G. Mikhael, Angelo Yializis, Richard E. Ellwanger
  • Patent number: 7291544
    Abstract: A photodetector (100, 200, 300) comprising a gallium nitride substrate, at least one active layer (104, 302) disposed on the substrate (102, 202, 306), and a conductive contact structure (106, 210, 308) affixed to the active layer (104, 302) and, in some embodiments, the substrate (102, 202, 306). The invention includes photodetectors (100, 200, 300) having metal-semiconductor-metal structures, P-i-N structures, and Schottky-barrier structures. The active layers (104, 302) may comprise Ga1-x-yAlxInyN1-z-w PzAsw, or, preferably, Ga1-xAlxN. The gallium nitride substrate comprises a single crystal gallium nitride wafer and has a dislocation density of less than about 105 cm?2. A method of making the photodetector (100, 200, 300) is also disclosed.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: November 6, 2007
    Assignee: General Electric Company
    Inventors: Mark Philip D'Evelyn, Nicole Andrea Evers, Kanin Chu
  • Patent number: 7271077
    Abstract: An atomic layer deposition method includes positioning a semiconductor substrate within an atomic layer deposition chamber. A first precursor gas is flowed to the substrate within the atomic layer deposition chamber effective to form a first monolayer on the substrate. The first precursor gas flowing comprises a plurality of first precursor gas pulses. The plurality of first precursor gas pulses comprises at least one total period of time between two immediately adjacent first precursor gas pulses when no gas is fed to the chamber. After forming the first monolayer on the substrate, a second precursor gas different in composition from the first is flowed to the substrate within the deposition chamber effective to form a second monolayer on the first monolayer. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: December 12, 2003
    Date of Patent: September 18, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Eugene Marsh, Brian Vaartstra, Paul J. Castrovillo, Cem Basceri, Garo J. Derderian, Gurtej S. Sandhu
  • Patent number: 7250357
    Abstract: A manufacturing method for producing a stained silicon wafer has the steps of forming an Si1-xGex composition-graded layer of which Ge concentration is stepwisely increased on a single crystal silicon substrate, forming an Si1-xGex uniform composition layer of which Ge concentration is constant on the Si1-xGex composition-graded layer, forming a stain-relaxed Si1-yGey layer of which Ge concentration y is constant while y satisfies relationship of 0.5x?y<x on the Si1-xGex uniform composition layer and epitaxially growing a strained Si layer on the strain-relaxed Si1-yGey layer.
    Type: Grant
    Filed: September 8, 2005
    Date of Patent: July 31, 2007
    Assignee: Toshiba Ceramics Co., Ltd.
    Inventors: Takeshi Senda, Koji Izunome
  • Patent number: 7244668
    Abstract: Methods for manufacturing semiconductor devices are disclosed. In one example, the semiconductor device has a gate and source/drain regions formed on a substrate. One example method includes introducing transition metal (Ti) source or precursor so that the introduced Ti source is chemisorbed onto the surface of the substrate and Ti mono-layer is formed; introducing semiconductor (Si) source so that the introduced Si source is chemisorbed onto the Ti mono-layer and Si mono-layer is formed; repeating the forming of the Ti and Si mono-layers; annealing the substrate to form a silicide layer (TiSi2) of C-54 phase; and patterning the C-54 phase TiSi2 layer to remain on the upper surfaces of the gate and source/drain regions.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: July 17, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Duk Soo Kim
  • Patent number: 7244667
    Abstract: System for producing diffusion-inhibiting epitaxial semiconductor layers, by means of which thin diffusion-inhibiting, epitaxial semiconductor layers can be produced on large semiconductor substrates at a high throughput. The surfaces of the semiconductor substrates to be coated are first cleaned, and the substrates are then heated in a low pressure batch reactor to a first temperature (prebake temperature). The surfaces to be coated are next subjected to a hydrogen prebake operation at a first reactor pressure. In the next step the semiconductor substrates are heated in a low pressure hot or warm wall batch reactor to a second temperature (deposition temperature) lower than the first temperature, and after a condition of thermodynamic equilibrium is reached the diffusion-inhibiting semiconductor layers are deposited on the surfaces to be coated in a chemical gaseous deposition process (CVD) at a second reactor pressure higher than, equal to or lower than the first reactor pressure.
    Type: Grant
    Filed: July 25, 2002
    Date of Patent: July 17, 2007
    Inventors: Bernd Tillack, Dirk Wolansky, Georg Ritter, Thomas Grabolla
  • Patent number: 7229892
    Abstract: A method of manufacturing a semiconductor device, includes preparing a semiconductor substrate, bonding a first semiconductor layer onto a part of the semiconductor substrate with a first insulating layer interposed therebetween, forming a second insulating layer on a side of the first semiconductor layer, epitaxially growing a second semiconductor layer in a region on the semiconductor substrate other than a region formed with the first insulating layer, forming a first semiconductor element in the first semiconductor layer on the first insulating layer, and forming a second semiconductor element in the second semiconductor layer on the second insulating layer.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: June 12, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Koji Usuda, Shinichi Takagi
  • Patent number: 7217641
    Abstract: More specifically, gallium nitride semiconductor layers may be fabricated by etching an underlying gallium nitride layer on a sapphire substrate, to define at least one post in the underlying gallium nitride layer and at least one trench in the underlying gallium nitride layer. The at least one post includes a gallium nitride top and a gallium nitride sidewall. The at least one trench includes a trench floor. The gallium nitride sidewalls are laterally grown into the at least one trench, to thereby form a gallium nitride semiconductor layer. However, prior to performing the laterally growing step, the sapphire substrate and/or the underlying gallium nitride layer is treated to prevent growth of gallium nitride from the trench floor from interfering with the lateral growth of the gallium nitride sidewalls of the at least one post into the at least one trench.
    Type: Grant
    Filed: January 23, 2004
    Date of Patent: May 15, 2007
    Assignee: North Carolina State University
    Inventors: Thomas Gehrke, Kevin J. Linthicum, Robert F. Davis
  • Patent number: 7208338
    Abstract: A method of manufacturing a ridge type semiconductor light emitting device includes: a process of epitaxially growing a multi-layered semiconductor layer having at least a first conductive type cladding layer, an active layer, a second conductive type first cladding layer, an etching stop layer, and a second conductive type second cladding layer on a substrate; a process of forming a ridge groove for forming a ridge; and a process of forming a current-flow barrier layer in the ridge groove. The process of forming ridge grooves has first and second anisotropic etching processes of performing anisotropic etching, an etching-mask forming process, and an isotropic etching process of performing anisotropic etching.
    Type: Grant
    Filed: December 9, 2004
    Date of Patent: April 24, 2007
    Assignee: Sony Corporation
    Inventors: Mari Chiba, Hisashi Kudo, Shinichi Agatsuma
  • Patent number: 7205216
    Abstract: A method and structure for fabricating semiconductor wafers. The method comprises providing a plurality of semiconductor wafers. The plurality of semiconductor wafers comprises a first semiconductor wafer and a second semiconductor wafer. The first semiconductor wafer is located adjacent to the second semiconductor wafer. A relationship is provided between a plurality of values for an electrical characteristic and a plurality of materials. A material is chosen from the plurality of materials existing in the relationship. A substructure is formed comprising the material sandwiched between a topside of the first semiconductor wafer and a backside of a portion of the of the second semiconductor wafer. The plurality of semiconductor wafers are placed into a furnace comprising an elevated temperature for processing resulting in a value for the first semiconductor wafer of the electrical characteristic that corresponds to said material in said relationship.
    Type: Grant
    Filed: July 29, 2004
    Date of Patent: April 17, 2007
    Assignee: International Business Machines Corporation
    Inventors: Casey J. Grant, Heidi L. Greer, Steven M. Shank, Michael C. Triplett