Providing Nondopant Ion (e.g., Proton, Etc.) Patents (Class 438/528)
  • Patent number: 7879667
    Abstract: A technique is presented which provides for a selective pre-amorphization of source/drain regions of a transistor while preventing pre-amorphization of a gate electrode of the transistor. Illustrative embodiments include the formation of a pre-amorphization implant blocking material over the gate electrode. Further illustrative embodiments include inducing a strain in a channel region by use of various stressors.
    Type: Grant
    Filed: February 5, 2008
    Date of Patent: February 1, 2011
    Assignee: Globalfoundries Inc.
    Inventors: Anthony Mowry, Markus Lenski, Andy Wei, Roman Boschke
  • Patent number: 7867868
    Abstract: The present invention generally provides an absorber layer using carbon based materials with increased and stabled thermal absorption coefficient and economical methods to produce such an absorber layer. One embodiment of the present invention provides a method for processing a substrate comprising depositing an absorber layer on a top surface of the substrate, wherein the substrate is maintained under a first temperature, annealing the substrate in a thermal processing chamber, wherein the substrate is heated to a second temperature, and the second temperature is higher than the first temperature, and removing the absorber layer from the substrate.
    Type: Grant
    Filed: March 2, 2007
    Date of Patent: January 11, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Joseph M. Ranish, Bruce E. Adams
  • Patent number: 7867877
    Abstract: A method for manufacturing SOI wafers is provided which allows the obtaining of a thin SOI layer having uniform in-plane thickness. In this manufacturing method, an oxygen ion implanted layer is first formed on an active layer wafer. This is then laminated to a base wafer with a embedded oxide film interposed therebetween. The active layer wafer side of the laminated wafer is then ground to remove a portion thereof. The remaining surface side of the active layer wafer is removed by polishing or KOH etching to expose the oxygen ion implanted layer. Oxygen ions are implanted to a uniform depth within the plane of the oxygen ion implanted layer in this oxygen ion implanted layer. Subsequently, oxidizing treatment is carried out to form an oxide film on the exposed surface of the oxygen ion implanted layer. Moreover, this oxide film is removed together with the oxygen ion implanted layer by an HF solution. The remaining portion of the active layer wafer serves as a thin SOI layer.
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: January 11, 2011
    Assignee: Sumco Corporation
    Inventors: Etsuro Morita, Akihiko Endo
  • Patent number: 7863171
    Abstract: By introducing a atomic species, such as carbon, fluorine and the like, into the drain and source regions, as well as in the body region, the junction leakage of SOI transistors may be significantly increased, thereby providing an enhanced leakage path for accumulated minority charge carriers. Consequently, fluctuations of the body potential may be significantly reduced, thereby improving the overall performance of advanced SOI devices. In particular embodiments, the mechanism may be selectively applied to threshold voltage sensitive device areas, such as static RAM areas.
    Type: Grant
    Filed: December 13, 2006
    Date of Patent: January 4, 2011
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jan Hoentschel, Andy Wei, Joe Bloomquist, Manfred Horstmann
  • Patent number: 7858495
    Abstract: A method for manufacturing an SOI substrate is provided in which adhesiveness between a single crystal semiconductor substrate and a semiconductor substrate is improved; bonding defects are reduced; and sufficient bonding strength is provided in a bonding step and also in a process of manufacturing a semiconductor device. An insulating film including halogen is formed on a single crystal semiconductor substrate side in which an embrittlement layer is formed. The insulating film including halogen undergoes a plasma treatment. The insulating film including halogen and a face of a semiconductor substrate are bonded so as to face each other. A thermal treatment is performed to split the single crystal semiconductor substrate along the embrittlement layer, thereby separating the single crystal semiconductor substrate into a single crystal semiconductor substrate and the semiconductor substrate to which a single crystal semiconductor layer is bonded.
    Type: Grant
    Filed: January 26, 2009
    Date of Patent: December 28, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hideto Ohnuma, Shunpei Yamazaki
  • Patent number: 7846818
    Abstract: A technique for forming a film of material (12) from a donor substrate (10). The technique has a step of introducing energetic particles (22) through a surface of a donor substrate (10) to a selected depth (20) underneath the surface, where the particles have a relatively high concentration to define a donor substrate material (12) above the selected depth. An energy source is directed to a selected region of the donor substrate to initiate a controlled cleaving action of the substrate (10) at the selected depth (20), whereupon the cleaving action provides an expanding cleave front to free the donor material from a remaining portion of the donor substrate.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: December 7, 2010
    Assignee: Silicon Genesis Corporation
    Inventors: Francois J. Henley, Nathan W. Cheung
  • Patent number: 7846796
    Abstract: A semiconductor device includes a plurality of channel structures on a semiconductor substrate. A bit line groove having opposing sidewalls is defined between sidewalls of adjacent ones of the plurality of channel structures.
    Type: Grant
    Filed: June 1, 2010
    Date of Patent: December 7, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-Ho Yun, Byung-Hee Kim, Dae-Yong Kim, Hyun-Su Kim, Eun-Ji Jung, Eun-Ok Lee
  • Patent number: 7838401
    Abstract: A semiconductor device comprises a field-effect transistor arranged in a semiconductor substrate, which transistor has a gate electrode, source/drain impurity diffusion regions, and carbon layers surrounding the source/drain impurity diffusion regions. Each of the carbon layers is provided at an associated of the source/drain impurity diffusion regions and positioned so as to be offset from the front edge of a source/drain extension in direction away from the gate electrode and to surround as profile the associated source/drain impurity diffusion region.
    Type: Grant
    Filed: August 31, 2009
    Date of Patent: November 23, 2010
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Hiroyuki Ohta, Kenichi Okabe
  • Patent number: 7825016
    Abstract: In a method for fabricating a semiconductor element in a substrate, micro-cavities are formed in the substrate. Furthermore, doping atoms are implanted into the substrate, whereby crystal defects are produced in the substrate. The substrate is heated, so that at least some of the crystal defects are eliminated using the micro-cavities, and the semiconductor element is formed using the doping atoms.
    Type: Grant
    Filed: November 14, 2006
    Date of Patent: November 2, 2010
    Assignee: Infineon Technologies AG
    Inventor: Luis-Felipe Giles
  • Patent number: 7795101
    Abstract: A method of forming a MOS transistor, in which, a co-implantation is performed to implant a carbon co-implant into a source region and a drain region or a halo implanted region to effectively prevent dopants from over diffusion in the source region and the drain region or the halo implanted region, for obtaining a good junction profile and improving short channel effect, and the carbon co-implant is from a precursor comprising CO or CO2.
    Type: Grant
    Filed: February 8, 2010
    Date of Patent: September 14, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Hsiang-Ying Wang, Chin-Cheng Chien, Tsai-Fu Hsiao, Ming-Yen Chien, Chao-Chun Chen
  • Patent number: 7790537
    Abstract: By introducing additional strain-inducing mechanisms on the basis of stress memorization techniques, the performance of NMOS transistors may be significantly increased, thereby reducing the imbalance between PMOS transistors and NMOS transistors. By amorphizing and re-crystallizing the respective material in the presence of a mask layer at various stages of the manufacturing process, a drive current improvement of up to approximately 27% has been observed, with the potential for further performance gain.
    Type: Grant
    Filed: November 9, 2007
    Date of Patent: September 7, 2010
    Assignee: Globalfoundries Inc.
    Inventors: Andy Wei, Anthony Mowry, Andreas Gehring, Maciej Wiatr
  • Patent number: 7790573
    Abstract: A process for producing an SOI substrate includes the steps of forming an oxide film on at least the front surface of a first silicon substrate, implanting hydrogen ion from the surface of the first silicon substrate and thereby forming an ion implantation area in the inside of the first silicon substrate, laminating a second silicon substrate onto the first silicon substrate via the oxide film and thereby forming a laminated body of the first silicon substrate and the second silicon substrate bonded with each other, and heating the laminated body at a predetermined temperature and thereby separating the first silicon substrate at the ion implantation area and thereby obtaining an SOI substrate wherein a thin film SOI layer is formed on the second silicon substrate via the oxide film.
    Type: Grant
    Filed: March 29, 2006
    Date of Patent: September 7, 2010
    Assignee: Sumco Corporation
    Inventors: Akihiko Endo, Toshiaki Ono, Wataru Sugimura
  • Patent number: 7785972
    Abstract: A method of making a transistor device having silicided source/drain is provided. A gate electrode is formed on a substrate with a gate dielectric layer therebetween. A spacer is formed on sidewalls of the gate electrode. A source/drain is implanted into the substrate. A pre-amorphization implant (PAI) is performed to form an amorphized layer on the source/drain. A post-PAI annealing process is performed to repair defects formed during the PAI process. A metal silicide layer is then formed from the amorphized layer.
    Type: Grant
    Filed: August 8, 2006
    Date of Patent: August 31, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Yu-Lan Chang, Chao-Ching Hsieh, Yi-Wei Chen, Tzung-Yu Hung, Chun-Chieh Chang
  • Patent number: 7785949
    Abstract: A composite dielectric layer including a nitride layer over an oxide layer serves the dual function of acting as an SMT (stress memorization technique) film while an annealing operation is carried out and then remains partially intact as it is patterned to further serve as an RPO film during a subsequent silicidation process. The need to form and remove two separate dielectric material layers is obviated. The nitride layer protects the oxide layer to alleviate oxide damage during a pre-silicidation PAI (pre-amorphization implant) process thereby preventing oxide attack during a subsequent HF dip operation and preventing nickel silicide spiking through the attacked oxide layer during silicidation.
    Type: Grant
    Filed: June 6, 2007
    Date of Patent: August 31, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Jyh-Huei Chen
  • Patent number: 7772094
    Abstract: A method for semiconductor processing is provided, wherein a removal of one or more layers is aided by structurally weakening the one or more layers via ion implantation. A semiconductor substrate is provided having one or more primary layers formed thereon, and a secondary layer is formed over the one or more primary layers. One or more ion species are implanted into the secondary layer, therein structurally weakening the secondary layer, and a patterned photoresist layer is formed over the secondary layer. Respective portions of the secondary layer and the one or more primary layers that are not covered by the patterned photoresist layer are removed, and the patterned photoresist layer is further removed. At least another portion of the secondary layer is removed, wherein the structural weakening of the secondary layer increases a removal rate of the at least another portion of the secondary layer.
    Type: Grant
    Filed: December 29, 2008
    Date of Patent: August 10, 2010
    Assignee: Texas Instuments Incorporated
    Inventors: Mahalingam Nandakumar, Wayne Bather, Narendra Singh Mehta
  • Patent number: 7767583
    Abstract: Embodiments of this method improve the results of a chemical mechanical polishing (CMP) process. A surface is implanted with a species, such as, for example, Si, Ge, As, B, P, H, He, Ne, Ar, Kr, Xe, and C. The implant of this species will at least affect dishing, erosion, and polishing rates of the CMP process. The species may be selected in one embodiment to either accelerate or decelerate the CMP process. The dose of the species may be varied over the surface in one particular embodiment.
    Type: Grant
    Filed: December 10, 2008
    Date of Patent: August 3, 2010
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Deepak Ramappa, Thirumal Thanigaivelan
  • Patent number: 7767549
    Abstract: The present invention provides a method of manufacturing a bonded wafer. The method comprises an oxidation step in which an oxide film is formed on at least one surface of a base wafer, a bonding step in which the base wafer on which the oxide film has been formed is bonded to a top wafer to form a bonded wafer, and a thinning step in which the top wafer included in the bonded wafer is thinned. The oxidation step comprises heating the base wafer to a heating temperature ranging from 800 to 1300° C. at a rate of temperature increase ranging from 1 to 300° C./second in an oxidizing atmosphere, and the bonding step is carried out so as to position the oxide film formed in the oxidation step at an interface of the top wafer and the base wafer.
    Type: Grant
    Filed: December 17, 2007
    Date of Patent: August 3, 2010
    Assignee: Sumco Corporation
    Inventors: Hidehiko Okuda, Tatsumi Kusaba, Akihiko Endo
  • Patent number: 7759208
    Abstract: Embodiments of the present invention provide a method that cools a substrate to a temperature below 10° C. and then implants ions into the substrate while the temperature of the substrate is below 10° C. The implanting causes damage to a first depth of the substrate to create an amorphized region in the substrate. The method forms a layer of metal on the substrate and heats the substrate until the metal reacts with the substrate and forms a silicide region within the amorphized region of the substrate. The depth of the silicide region is at least as deep as the first depth.
    Type: Grant
    Filed: March 27, 2009
    Date of Patent: July 20, 2010
    Assignee: International Business Machines Corporation
    Inventors: Asa Frye, Christian Lavoie, Ahmet S. Ozcan, Donald R. Wall
  • Patent number: 7754589
    Abstract: A method for improving the quality of a SiC layer by effectively reducing or eliminating the carrier trapping centers in the as-grown SiC crystal. The method includes the steps of: (a) carrying out ion implantation of carbon atoms, silicon atoms, hydrogen atoms, or helium atoms into a shallow surface layer of the SiC crystal layer to introduce carbon interstitials into the surface layer, and (b) growing the SiC layer upward from the edge face of the surface layer into which the carbon interstitials have been introduced, and diffusing out the carbon interstitials that have been introduced into the surface layer from the surface layer into the grown layer and combining the carbon interstitials and point defects to make the electrically active point defects in the grown layer inactive.
    Type: Grant
    Filed: October 15, 2008
    Date of Patent: July 13, 2010
    Assignee: Central Research Institute of Electric Power Industry
    Inventors: Hidekazu Tsuchida, Liutauras Storasta
  • Patent number: 7754590
    Abstract: Some embodiments of the invention relate to manufacturing a semiconductor device with an implantation layer on a semiconductor substrate including a method of manufacturing such an implantation layer, wherein said implantation layer is formed in an implantation step at a predetermined depth of penetration, determined from a top surface of said semiconductor substrate, using a particle beam, by increasing its path distance to a main implantation peak and correspondingly increasing the energy level of said particle beam for producing an undamaged implantation layer having a thickness that is increased significantly compared with the thickness of an implantation layer that would be produced at said predetermined depth of penetration using a particle beam with non-increased path distance and energy level.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: July 13, 2010
    Assignee: Infineon Technologies Austria AG
    Inventors: Hans-Joachim Schulze, Holger Schulze, Andreas Kyek
  • Patent number: 7749876
    Abstract: According to one embodiment, a method for the production of a stop zone in a doped zone of a semiconductor body comprises irradiating the semiconductor body with particle radiation in order to produce defects in a crystal lattice of the semiconductor body. The semiconductor body is exposed to an environment containing dopant atoms, during which dopant atoms are indiffused into the semiconductor body at an elevated temperature.
    Type: Grant
    Filed: February 28, 2008
    Date of Patent: July 6, 2010
    Assignee: Infineon Technologies AG
    Inventors: Reiner Barthelmess, Anton Mauder, Franz-Josef Niedernostheide, Hans-Joachim Schulze
  • Patent number: 7737011
    Abstract: It is an object to provide a method for improving the quality of an SiC layer by effectively reducing or eliminating the carrier trapping centers by high temperature annealing and an SiC semiconductor device fabricated by the method. A method for improving the quality of an SiC layer by eliminating or reducing some carrier trapping centers comprising the steps of: (a) carrying out ion implantation of carbon atoms (C), silicon atoms, hydrogen atoms, or helium atoms into a shallow surface layer (A) of the starting SiC crystal layer (E) to introduce excess carbon interstitials into the implanted surface layer, and (b) heating the layer for making the carbon interstitials (C) to diffuse out from the implanted surface layer (A) into a bulk layer (E) and for making the electrically active point defects in the bulk layer inactive. After the above steps, the surface layer (A) can be etched or mechanically removed. A semiconductor device according to the invention is fabricated by the method.
    Type: Grant
    Filed: November 10, 2006
    Date of Patent: June 15, 2010
    Assignee: Central Research Institute of Electric Power Industry
    Inventors: Hidekazu Tsuchida, Liutauras Storasta
  • Patent number: 7736998
    Abstract: This SOI substrate includes a base substrate which includes a single-crystal semiconductor and an active layer which includes a single-crystal semiconductor and is bonded to the base substrate with an oxide film therebetween. The oxide film is formed only in the active layer. The active layer is formed with a thickness of 10 to 200 nm and a thickness variation throughout the active layer of 1.5 nm or less by etching a surface of the active layer while selectively using only the reactive radicals generated by a plasma etching process.
    Type: Grant
    Filed: May 25, 2005
    Date of Patent: June 15, 2010
    Assignee: Sumco Corporation
    Inventors: Etsurou Morita, Ritarou Sano, Akihiko Endo
  • Patent number: 7723220
    Abstract: A method of forming a compressive channel layer in a PMOS device and a PMOS device having a compressive channel layer are provided. The method includes (a) forming a buffer oxide layer on a silicon semiconductor substrate having a gate oxide layer and a gate electrode thereon, (b) forming a silicon nitride layer on the buffer oxide layer, (c) implanting impurities into the silicon nitride layer, and (d) etching or patterning the silicon nitride layer and the buffer oxide layer into which impurities are implanted to form gate spacers on sidewalls of the gate electrode.
    Type: Grant
    Filed: December 11, 2006
    Date of Patent: May 25, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jin Ha Park
  • Patent number: 7718509
    Abstract: A bonded wafer is produced by comprising a step of implanting oxygen ions from a surface of a wafer for active layer to form an oxygen ion implanted layer at a given position inside the wafer for active layer; a step of bonding the wafer of active layer to a wafer for support substrate directly or through an insulating film; a step of subjecting the resulting bonded wafer to a heat treatment for increasing a bonding strength; a step of removing a portion of the wafer for active layer in the bonded wafer to a given position not exposing the oxygen ion implanted layer by a given method; a step of exposing the entire surface of the oxygen ion implanted layer; and a step of removing the exposed oxygen ion implanted layer to obtain an active layer of a given thickness, wherein the step of exposing the entire surface of the oxygen ion implanted layer is carried out by a dry etching under given conditions.
    Type: Grant
    Filed: May 27, 2009
    Date of Patent: May 18, 2010
    Assignee: Sumco Corporation
    Inventors: Akihiko Endo, Tatsumi Kusaba
  • Patent number: 7704855
    Abstract: A silicon-on-insulator (SOI) device and structure having locally strained regions in the silicon active layer formed by increasing the thickness of underlying regions of a buried insulating layer separating the silicon active layer from the substrate. The stress transferred from the underlying thickened regions of the insulating layer to the overlying strained regions increases carrier mobility in these confined regions of the active layer. Devices formed in and on the silicon active layer may benefit from the increased carrier mobility in the spaced-apart strained regions.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: April 27, 2010
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Charles William Koburger, III, James Albert Slinkman
  • Patent number: 7696072
    Abstract: A method for introducing impurities includes a step for forming an amorphous layer at a surface of a semiconductor substrate, and a step for forming a shallow impurity-introducing layer at the semiconductor substrate which has been made amorphous, and an apparatus used therefore. Particularly, the step for forming the amorphous layer is a step for irradiating plasma to the surface of the semiconductor substrate, and the step for forming the shallow impurity-introducing layer is a step for introducing impurities into the surface which has been made amorphous.
    Type: Grant
    Filed: January 15, 2008
    Date of Patent: April 13, 2010
    Assignee: Panasonic Corporation
    Inventors: Yuichiro Sasaki, Bunji Mizuno, Cheng-Guo Jin
  • Patent number: 7687356
    Abstract: A method of forming a silicon germanium conduction channel under a gate stack of a semiconductor device, the gate stack being formed on a silicon layer on an insulating layer, the method including growing a silicon germanium layer over said silicon layer and heating the device such that germanium condenses in the silicon layer such that a silicon germanium channel is formed between the gate stack and the insulating layer.
    Type: Grant
    Filed: March 5, 2007
    Date of Patent: March 30, 2010
    Assignee: STMicroelectronics Crolles 2 SAS
    Inventors: Philippe Coronel, Arnaud Pouydebasque
  • Patent number: 7666771
    Abstract: A process is disclosed which incorporates implantation of a carbon cluster into a substrate to improve the characteristics of transistor junctions when the substrates are doped with Boron and Phosphorous in the manufacturing of PMOS transistor structures in integrated circuits. There are two processes which result from this novel approach: (1) diffusion control for USJ formation; and (2) high dose carbon implantation for stress engineering. Diffusion control for USJ formation is demonstrated in conjunction with a boron or shallow boron cluster implant of the source/drain structures in PMOS. More particularly, first, a cluster carbon ion, such as C16Hx+, is implanted into the source/drain region at approximately the same dose as the subsequent boron implant; followed by a shallow boron, boron cluster, phosphorous or phosphorous cluster ion implant to form the source/drain extensions, preferably using a borohydride cluster, such as B18Hx+ or B10Hx+.
    Type: Grant
    Filed: December 6, 2006
    Date of Patent: February 23, 2010
    Assignee: Semequip, Inc.
    Inventors: Wade A. Krull, Thomas N. Horsky
  • Patent number: 7632735
    Abstract: A process for manufacturing a silicon-on-insulator substrate comprising a single-crystal silicon substrate in which an oxide layer has been locally buried includes forming a step on the silicon substrate so that a region corresponding to the oxide layer has a greater surface height than other regions; then implanting oxygen ions in the silicon substrate so as to form the oxide layer.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: December 15, 2009
    Assignee: Sumco Corporation
    Inventor: Tetsuya Nakai
  • Patent number: 7622372
    Abstract: Vacancies and dopant ions are introduced near the surface of a semiconductor layer structure. Implanted dopant ions which diffuse by an interstitialcy mechanism have diffusivity greatly reduced, which leads to a very low resistivity doped region and a very shallow junction.
    Type: Grant
    Filed: September 11, 2006
    Date of Patent: November 24, 2009
    Inventors: Wei-Kan Chu, Lin Shao
  • Patent number: 7618883
    Abstract: A method for introducing impurities includes a step for forming an amorphous layer at a surface of a semiconductor substrate, and a step for forming a shallow impurity-introducing layer at the semiconductor substrate which has been made amorphous, and an apparatus used therefore. Particularly, the step for forming the amorphous layer is a step for irradiating plasma to the surface of the semiconductor substrate, and the step for forming the shallow impurity-introducing layer is a step for introducing impurities into the surface which has been made amorphous.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: November 17, 2009
    Assignee: Panasonic Corporation
    Inventors: Yuichiro Sasaki, Bunji Mizuno, Cheng-Guo Jin
  • Patent number: 7601217
    Abstract: A method of forming an epitaxially grown layer, preferably by providing a region of weakness in a support substrate and transferring a nucleation portion to the support substrate by bonding. A remainder portion of the support substrate is detached at the region of weakness and an epitaxial layer is grown on the nucleation portion. The remainder portion is separated or otherwise removed from the support portion.
    Type: Grant
    Filed: November 22, 2005
    Date of Patent: October 13, 2009
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Bruce Faure, Fabrice Letertre
  • Patent number: 7598162
    Abstract: It is an object to provide a method of manufacturing a semiconductor device capable of forming a MOS transistor of high performance, comprising the steps of forming a gate electrode on a semiconductor substrate via a gate-insulating film (step S1), introducing a impurity into the semiconductor substrate using the gate electrode as a mask (step S7), introducing a diffusion-controlling substance into the semiconductor substrate to control the diffusion of the impurity (step S8), forming a side wall-insulating film on each side surface of the gate electrode (step S9), deeply introducing impurity into the semiconductor substrate using the gate electrode and the side wall-insulating film as masks (step S10), activating the impurity by the annealing treatment using a rapid thermal annealing method (step S11), and further activating the impurity by the millisecond annealing treatment (step S12).
    Type: Grant
    Filed: September 26, 2006
    Date of Patent: October 6, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Tomonari Yamamoto, Tomohiro Kubo
  • Patent number: 7592243
    Abstract: An impurity-diffused layer having an extension structure is formed first by implanting Sb ion as an impurity for forming a pocket region; then by implanting N as a diffusion-suppressive substance so as to produce two peaks in the vicinity of the interface with a gate electrode and at an amorphous/crystal interface which serves as an defect interface generated by the impurity in the pocket region; and by carrying out ion implantations for forming an extension region and deep source and drain regions.
    Type: Grant
    Filed: October 28, 2005
    Date of Patent: September 22, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Youichi Momiyama, Kenichi Okabe, Takashi Saiki, Hidenobu Fukutome
  • Patent number: 7582531
    Abstract: A method for producing a region of increased doping in an n-doped semiconductor layer which is buried in a semiconductor body of a vertical power transistor and which is arranged between a p-doped body region facing the front side contact of the power transistor and an n-doped substrate facing the rear side contact of the power transistor has the following steps: a) irradiation of at least one part of the surface of the semiconductor body with protons, and b) heat treatment of the semiconductor body.
    Type: Grant
    Filed: February 28, 2006
    Date of Patent: September 1, 2009
    Assignee: Infineon Technologies Austria AG
    Inventors: Ralf Siemieniec, Hans-Joachim Schulze, Franz Hirler
  • Patent number: 7582547
    Abstract: Devices and methods for junction formation in manufacturing a semiconductor device are disclosed. The devices have shallow junction depths far removed from end-of range defects. The method comprises forming an amorphous region in a crystalline semiconductor such as silicon down to a first depth, followed by implantation of a substitutional element such as carbon to a smaller depth than the first depth. The region is then doped with suitable dopants, e.g. phosphorus or boron, and the amorphous layer recrystallized by a thermal process.
    Type: Grant
    Filed: August 3, 2007
    Date of Patent: September 1, 2009
    Assignees: Interuniversitair Microelektronica Centrum vzw (IMEC), Koninklijke Philips Electronics
    Inventor: Bartlomiej Jan Pawlak
  • Patent number: 7572716
    Abstract: A method is disclosed for doping a target area of a semiconductor substrate, such as a source or drain region of a transistor, with an electronically active dopant (such as an N-type dopant used to create active areas in NMOS devices, or a P-type dopant used to create active areas in PMOS devices) having a well-controlled placement profile and strong activation. The method comprises placing a carbon-containing diffusion suppressant in the target area at approximately 50% of the concentration of the dopant, and activating the dopant by an approximately 1,040 degree Celsius thermal anneal. In many cases, a thermal anneal at such a high temperature induces excessive diffusion of the dopant out of the target area, but this relative concentration of carbon produces a heretofore unexpected reduction in dopant diffusion during such a high-temperature thermal anneal.
    Type: Grant
    Filed: April 25, 2007
    Date of Patent: August 11, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Haowen Bu, Shashank S. Ekbote, Borna Obradovic, Srinivasan Chakravarthi
  • Patent number: 7560312
    Abstract: Semiconductor structures having a decreased semiconductor junction capacitance of a semiconductor junction within an active semiconductor layer may be fabricated using an ion implantation and thermal annealing method. The ion implantation and thermal annealing method provides for a plurality of voids located completely within the active semiconductor layer proximate to the semiconductor junction located within the active semiconductor layer, absent stressing of the active semiconductor layer.
    Type: Grant
    Filed: August 7, 2006
    Date of Patent: July 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Haining Yang, Xiangdong Chen
  • Patent number: 7557023
    Abstract: A semiconductor fabrication method. The method includes providing a semiconductor structure which includes (i) a semiconductor layer, (ii) a gate dielectric layer on the semiconductor layer, and (iii) a gate electrode region on the gate dielectric layer. The gate dielectric layer is sandwiched between and electrically insulates the semiconductor layer and the gate electrode region. The semiconductor layer and the gate dielectric layer share a common interfacing surface which defines a reference direction perpendicular to the common interfacing surface and pointing from the semiconductor layer to the gate dielectric layer. Next, a resist layer is formed on the gate dielectric layer and the gate electrode region. Next, a cap portion of the resist layer directly above the gate electrode region in the reference direction is removed without removing any portion of the resist layer not directly above the gate electrode region in the reference direction.
    Type: Grant
    Filed: September 15, 2006
    Date of Patent: July 7, 2009
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Mark C. Hakey, Steven J. Holmes, David V. Horak, Charles W. Koburger, III
  • Patent number: 7550355
    Abstract: A boron ion stream may be used to implant ions, such as boron ions, into the sidewalls of an active area, such as an NFET active area. The boron ion stream has both vertical tilt and horizontal rotation components relative to the sidewalls and/or the silicon device, to provide a better line of sight onto the sidewalls. This may allow components of the silicon device to be moved closer together without unduly reducing the effectiveness of boron doping of NFET active area sidewalls, and provides an improved line of sight of a boron ion stream onto the sidewalls of an NFET active area prior to filling the surrounding trench with STI material.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: June 23, 2009
    Assignee: Toshiba America Electronic Components, Inc.
    Inventor: Yusuke Kohyama
  • Patent number: 7544549
    Abstract: Upon manufacture of a semiconductor device provided with a source region and a drain region formed by activating, through anneal, an n-type first dopant ion-implanted in a p-type device forming area provided in a semiconductor layer formed on an insulator, and a body region, (a) ion implantation of Ar in a boundary region between the source and drain regions to be formed, which corresponds to a region lying in a predeterminate area for forming the body region, and (b) high-temperature anneal for partly recovering crystal defects produced by the ion implantation of the Ar at a temperature higher than the anneal for activation of the first dopant are carried out prior to the ion-implantation of the first dopant.
    Type: Grant
    Filed: May 31, 2006
    Date of Patent: June 9, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Yasuhiro Domae
  • Patent number: 7541265
    Abstract: A material for use as part of an internal capacitor within a circuitized substrate includes a polymer (e.g., a cycloaliphatic epoxy or phenoxy based) resin and a quantity of nano-powders of ferroelectric ceramic material (e.g., barium titanate) having a particle size substantially in the range of from about 0.01 microns to about 0.90 microns and a surface area for selected ones of said particles within the range of from about 2.0 to about 20 square meters per gram. A circuitized substrate adapted for using such a material and capacitor therein and a method of making such a substrate are also provided. An electrical assembly (substrate and at least one electrical component) and an information handling system (e.g., personal computer) are also provided.
    Type: Grant
    Filed: January 10, 2005
    Date of Patent: June 2, 2009
    Assignee: Endicott Interconnect Technologies, Inc.
    Inventors: Rabindra N. Das, John M. Lauffer, Kostas I. Papathomas, Mark D. Poliks
  • Patent number: 7531436
    Abstract: The invention relates to a method of forming a shallow junction. The method (100) comprises forming source/drain extension regions with a non-amorphizing tail implant (105) which is annealed conventionally (spike/RTP) and amorphizing implant which is re-grown epitaxially (SPER) (110). The non-amorphizing tail implant is generally annealed (106) before a doped amorphous layer for SPE is formed (107). SPE provides a high active dopant concentration in a shallow layer. The non-amorphizing tail implant (105) expands the source/drain extension region beyond the range dictated by the SPE-formed layer and keeps the depletion region of the P-N junction away from where end-of-range defects form during the SPE process. Thus, the SPE-formed layer primarily determines the conductivity of the junction while the tail implant determines the location of the depletion region. End-of-range defects form, but are not in a position to cause significant reverse bias leakage.
    Type: Grant
    Filed: February 14, 2005
    Date of Patent: May 12, 2009
    Assignee: Texas Instruments Incorporated
    Inventor: Puneet Kohli
  • Patent number: 7517776
    Abstract: A method for controlling dislocation position in a silicon germanium buffer layer located on a substrate includes irradiating one or more regions of the silicon germanium layer with a dislocation inducing agent and depositing a strained silicon germanium layer on the substrate. The dislocation inducing agent may include ions, electrons, or other radiation source. Dislocations in the silicon germanium layer are located in one or more of the regions. The substrate and strained silicon germanium layer may then be subjected to an annealing process to transform the strained silicon germanium layer into a relaxed state. A top layer of strained silicon or silicon germanium may be deposited on the relaxed silicon germanium layer. Semiconductor-based devices may then be fabricated in the non-damaged regions of the strained silicon or silicon germanium layer. Threading dislocations are confined to damaged areas which may be transformed into SiO2 isolation regions.
    Type: Grant
    Filed: January 29, 2007
    Date of Patent: April 14, 2009
    Assignee: The Regents of the University of California
    Inventors: Ya-Hong Xie, Tae-Sik Yoon
  • Patent number: 7504322
    Abstract: A method of growing a semiconductor layer structure comprises growing a first semiconductor layer and incorporating hydrogen into the first semiconductor layer. One or more further semiconductor layers are then grown over the first semiconductor layer to form a semiconductor layer structure. A selected portion of the first semiconductor layer is then annealed so as to change the electrical resistance of the selected portion of the first semiconductor layer. The electrical resistance of the one or more further semiconductor layers that have been grown over the first semiconductor layer is not significantly changed by the annealing step. The invention may be used, for example, to create a current aperture in a semiconductor layer within a semiconductor layer structure.
    Type: Grant
    Filed: November 13, 2006
    Date of Patent: March 17, 2009
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Matthias Kauer
  • Patent number: 7501332
    Abstract: A doping method includes implanting first impurity ions into a semiconductor substrate, so as to form a damaged region in the vicinity of a surface of the semiconductor substrate, the first impurity ions not contributing to electric conductivity; implanting second impurity ions into the semiconductor substrate through the damaged region, the second impurity ions having an atomic weight larger than the first impurity ions and contributing to the electric conductivity; and heating the surface of the semiconductor substrate with a light having a pulse width of about 0.1 ms to about 100 ms, so as to activate the second impurity ions.
    Type: Grant
    Filed: April 4, 2005
    Date of Patent: March 10, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takayuki Ito, Kyoichi Suguro
  • Publication number: 20090061606
    Abstract: The present invention provides a method for manufacturing a semiconductor device. In one embodiment, the method for manufacturing the semiconductor device includes a method for manufacturing a zener diode, including among others, forming a doped well (240) within a substrate (210) and forming a suppression implant (420) within the substrate (210). The method for manufacturing the zener diode may further include forming a cathode (620) and an anode (520) within the substrate (210), wherein the suppression implant (420) is located proximate the doped well (240) and configured to reduce threading dislocations.
    Type: Application
    Filed: November 7, 2008
    Publication date: March 5, 2009
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: MARTIN MOLLAT, TATHAGATA CHATTERJEE, HENRY L. EDWARDS, LANCE S. ROBERTSON, RICHARD B. IRWIN, BINGHUA HU
  • Patent number: 7494852
    Abstract: A method of forming a surface Ge-containing channel which can be used to fabricate a Ge-based field effect transistor (FET) which can be applied to semiconductor-on-insulator substrates (SOIs) is provided. The disclosed method uses Ge-containing ion beams, such as cluster ion beams, to create a strained Ge-containing rich region at or near a surface of a SOI substrate. The Ge-containing rich region can be present continuously across the entire surface of the semiconductor substrate, or it can be present as a discrete region at a predetermined surface portion of the semiconductor substrate.
    Type: Grant
    Filed: January 6, 2005
    Date of Patent: February 24, 2009
    Assignee: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Bruce B. Doris, Devendra K. Sadana
  • Patent number: 7495347
    Abstract: A method that includes providing a semiconductor substrate having a mask on a surface thereof. The mask includes a first region having no masking elements and a second region having a plurality of masking elements. Each of the plurality of masking elements has a dimension that is equal to a first length, the first length less than twice a diffusion length of a dopant. The method further includes bombarding the semiconductor substrate and masking element with ions of the dopant. The ions form a first impurity concentration in the first region and a second impurity concentration in the second region.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: February 24, 2009
    Assignee: Xerox Corporation
    Inventors: Alan D. Raisanen, Shelby F. Nelson