Providing Nondopant Ion (e.g., Proton, Etc.) Patents (Class 438/528)
  • Patent number: 7115463
    Abstract: The present invention provides a method of fabricating a patterned silicon-on-insulator substrate which includes dual depth SOI regions or both SOI and non-SOI regions within the same substrate. The method of the present invention includes forming a silicon mask having at least one opening on a surface of Si-containing material, recessing the Si-containing material through the at least one opening using an etching process to provide a structure having at least one recess region and a non-recessed region, and forming a first buried insulating region in the non-recessed region and a second buried insulating region in the recessed region. In accordance with the present invention, the first buried insulating region in the non-recessed region is located above the second buried isolation region in the recessed region. A lift-off step can be employed to remove the first buried insulating region and the material that lies above to provide a substrate containing both SOI and non-SOI regions.
    Type: Grant
    Filed: August 20, 2004
    Date of Patent: October 3, 2006
    Assignee: International Business Machines Corporation
    Inventors: Devendra K. Sadana, Dominic J. Schepis, Michael D. Steigerwalt
  • Patent number: 7112482
    Abstract: A method of forming a field effect transistor includes forming a channel region within bulk semiconductive material of a semiconductor substrate. Source/drain regions are formed on opposing sides of the channel region. An insulative dielectric region is formed within the bulk semiconductive material proximately beneath at least one of the source/drain regions. A method of forming a field effect transistor includes providing a semiconductor-on-insulator substrate, said substrate comprising a layer of semiconductive material formed over a layer of insulative material. All of a portion of the semiconductive material layer and all of the insulative material layer directly beneath the portion are removed thereby creating a void in the semiconductive material layer and the insulative material layer. Semiconductive channel material is formed within the void. Opposing source/drain regions are provided laterally proximate the channel material. A gate is formed over the channel material.
    Type: Grant
    Filed: July 28, 2004
    Date of Patent: September 26, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Todd R. Abbott, Zhongze Wang, Jigish D. Trivedi, Chih-Chen Cho
  • Patent number: 7105426
    Abstract: A semiconductor substrate is provided, and at least one first mask is formed above the semiconductor substrate. The first mask has a plurality of thicknesses and blocks at least one semi-insulating region. A second mask is thereafter formed on a surface of the semiconductor substrate. The second mask covers the semi-insulating region. The semi-insulating region is implanted with a high energy beam of particles by utilizing the second mask and the first mask as particle hindering masks. Finally, the second mask is removed.
    Type: Grant
    Filed: April 15, 2005
    Date of Patent: September 12, 2006
    Assignee: United Microelectronics Corp.
    Inventors: Joey Lai, Water Lur
  • Patent number: 7105412
    Abstract: A gate electrode is formed on a substrate with a gate insulating layer therebetween. A liner is then deposited on sidewalls of the gate electrode. Source/drain extensions are implanted into the substrate. A first spacer is then formed on the liner. Deep source/drain are implanted into the substrate. A second spacer is formed at the foot of the first spacer. A tilt-angle pre-amorphization implant (PAI) is conducted to form an amorphized layer next to the second spacer. A metal layer is then sputtered on the amorphized layer. The metal layer reacts with the amorphized layer to form a metal silicide layer thereto.
    Type: Grant
    Filed: March 22, 2005
    Date of Patent: September 12, 2006
    Assignee: United Microelectronics Corp.
    Inventor: Ming-Tsung Chen
  • Patent number: 7101764
    Abstract: A high-voltage transistor and fabrication process in which the fabrication of the high-voltage transistor can be readily integrated into a conventional CMOS fabrication process. The high-voltage transistor of the invention includes a channel region formed beneath a portion of the gate electrode after the gate electrode has been formed on the surface of a semiconductor substrate. In a preferred embodiment, the channel region is formed by the angled ion implantation of dopant atoms using an edge of the gate electrode as a doping mask. The high-voltage transistor of the invention further includes a drain region that is spaced apart from the channel region by a portion of a well region and by an isolation region residing in the semiconductor substrate. By utilizing the process of the invention to fabricate the high-voltage transistor, the transistor can be integrated into an existing CMOS device with minimal allocation of additional substrate surface area.
    Type: Grant
    Filed: September 18, 2002
    Date of Patent: September 5, 2006
    Assignee: SanDisk 3D LLC
    Inventor: Christopher J. Petti
  • Patent number: 7094663
    Abstract: The semiconductor device has a low-resistance layer provided under the interconnection extending from the signal input to a gate of MOSFET. The low-resistance layer decreases the substrate resistance and the noise characteristic of the semiconductor device can also be improved. The low-resistance layer can be provided on a surface of the substrate or a polysilicon interconnection.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: August 22, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Eiji Morifuji
  • Patent number: 7091115
    Abstract: The invention relates to a method for doping a semiconductor body (2), in which an n-type doping is introduced into the semiconductor body, which is initially p-doped, for example, by means of ion irradiation preferably with protons, which n-type doping is then cancelled by the action of a laser beam (8) in specific regions (9) so that the original p-type doping is present in said regions (9).
    Type: Grant
    Filed: December 23, 2003
    Date of Patent: August 15, 2006
    Assignee: Infineon Technologies AG
    Inventor: Michael Rueb
  • Patent number: 7087507
    Abstract: A structure and method passivates dangling silicon bonds by the introduction of deuterium into a Metal Oxide Semiconductor Field Effect Transistor (MOSFET) by ion implantation. The process of implantation provides precise placement of deuterium at optimum locations within the gate stack to create stable silicon-deuterium bond terminations at the Si—SiO2 interface within the gate-channel region. The deuterium is encapsulated in the MOSFET by the use of a Silicon Nitride (SiN) barrier mask. The ability of deuterium to passivate dangling silicon bonds is maximized by removing hydrogen present in the MOSFET and by use of an absorption layer to create a deuterium rich region.
    Type: Grant
    Filed: May 17, 2004
    Date of Patent: August 8, 2006
    Assignee: PDF Solutions, Inc.
    Inventors: Viktor Koldiaev, Jeff Babock, George Cheroff
  • Patent number: 7084051
    Abstract: A purpose of the invention is to provide a manufacturing method for a semiconductor substrate in which a high quality strained silicon channel can easily be formed without sacrificing the processing efficiency of a wafer and to provide a manufacturing method for a semiconductor device wherein the driving performance of a PMOS transistor, in addition to that of an NMOS transistor, can be improved. The invention provides a manufacturing method for a semiconductor substrate with the steps of: forming a SiGe film on the top surface of a substrate having a silicon monocrystal layer in the (111) or (110) plane direction as the surface layer; introducing buried crystal defects into the above described substrate by carrying out ion implantation and annealing treatment; and forming a semiconductor film on the above described SiGe film.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: August 1, 2006
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Takashi Ueda
  • Patent number: 7071067
    Abstract: A process is provided for forming an isolating nitride film to isolate gate polysilicon of a gate structure. Specifically, the process comprises providing a channel region defined by a source and drain region of a semiconductor substrate having a gate structure comprising an isolating oxide layer positioned on the channel region and the polysilicon layer positioned on the oxide layer. More specifically, the process comprises the steps of forming the nitrogen implanted regions over the semiconductor substrate by implanting nitrogen atoms into those regions and growing spacers from exposed portions of the polysilicon layer. During the spacer growth, the spacer grows vertically as well as laterally extending under the polysilicon edges. Diffusion of nitrogen atoms to the substrate surface forms silicon nitride under the gate edges, which minimizes current leakages into gate polysilicon.
    Type: Grant
    Filed: September 17, 1999
    Date of Patent: July 4, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Aftab Ahmad
  • Patent number: 7067410
    Abstract: The present invention provides a technique for forming a metal silicide, such as a cobalt disilicide, even at extremely scaled device dimensions without unduly degrading the film integrity of the metal silicide. To this end, an ion implantation may be performed, advantageously with silicon, prior to a final anneal cycle, thereby correspondingly modifying the grain structure of the precursor of the metal silicide.
    Type: Grant
    Filed: April 29, 2004
    Date of Patent: June 27, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Karsten Wieczorek, Thorsten Kammler, Manfred Horstmann
  • Patent number: 7060558
    Abstract: In the course of a method for fabricating a field-effect transistor having a floating gate, a structure is formed which has uncovered sidewalls of a layer made of the material for forming the floating gate and which is exposed to an oxidizing atmosphere in order to coat the sidewalls. At the same time, other regions of the structure have an insulating oxide layer. At a point in time prior to the action of an oxidizing atmosphere, nitrogen is implanted into the material of the floating gate in a quantity that appreciably reduces the oxidation at the sidewalls thereof.
    Type: Grant
    Filed: December 16, 2002
    Date of Patent: June 13, 2006
    Assignee: Infineon Technologies AG
    Inventors: Franz Hofmann, Georg Tempel, Robert Strenz, Robert Wiesner
  • Patent number: 7041581
    Abstract: The preferred embodiment of the present invention overcomes the limitations of the prior art and provides a device and method to increase the latch-up immunity of CMOS devices by forming a non-dopant region near the edge of a dopant region. The preferred embodiment method to increase the latch-up immunity of CMOS devices uses hybrid photoresist to selectively form non-dopant implants near the edges of the N-well and/or P-well. The non-dopant implants suppress diffusion of dopant in the wells resulting in greater control of well spacing, and hence reducing the gain of the parasitic transistor. This reduces the propensity of the CMOS device to latch-up. The preferred embodiment method allows the non-dopant implants to be formed without requiring additional masking steps over the prior art methods.
    Type: Grant
    Filed: November 16, 2001
    Date of Patent: May 9, 2006
    Assignee: International Business Machines Corporation
    Inventors: Steven H. Voldman, Louis D. Lanzerotu
  • Patent number: 7041575
    Abstract: One aspect of this disclosure relates to a method for straining a transistor body region. In various embodiments, oxygen ions are implanted to a predetermined depth in a localized region of a semiconductor substrate, and the substrate is annealed. Oxide growth within the semiconductor substrate forms a local oxide region within the semiconductor substrate. A portion of the substrate forms a semiconductor layer over the local oxide region. In various embodiments, the semiconductor layer is an ultra-thin semiconductor layer having a thickness of approximately 300 ? or less. The oxide growth strains the semiconductor layer. An active region, including the body region, of the transistor is formed in the strained semiconductor layer. Other aspects are provided herein.
    Type: Grant
    Filed: April 29, 2003
    Date of Patent: May 9, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Patent number: 7037806
    Abstract: A method of fabricating a semiconductor-on-insulator semiconductor substrate is disclosed that includes providing first and second semiconductor substrates. Either oxygen or nitrogen is introduced into a region adjacent the surface of the first semiconductor substrate and a rare earth is introduced into a region adjacent the surface of the second semiconductor substrate. The surface of the first semiconductor substrate is bonded to the surface of the second semiconductor substrate in a process that includes annealing to react either the oxygen or the nitrogen with the rare earth to form an interfacial insulating layer of either rare earth oxide or rare earth nitride. A portion of either the first semiconductor substrate or the second semiconductor substrate is removed and the surface polished to form a thin crystalline active layer on the insulating layer.
    Type: Grant
    Filed: February 9, 2005
    Date of Patent: May 2, 2006
    Assignee: Translucent Inc.
    Inventor: Petar B. Atanackovic
  • Patent number: 7012005
    Abstract: In accordance with the present invention, a trench MOSFET is formed by creating a trench in a semiconductor substrate. A portion of either a side wall of the trench or the bottom of the trench is implanted with an implant species. An insulating layer is then grown overlying the bottom and side wall of the trench. The implant species is selected such that the insulating layer grows more quickly on the bottom of the trench than on the side wall of the trench, resulting in a thicker insulating layer in the bottom of the trench than on the trench side walls.
    Type: Grant
    Filed: June 25, 2002
    Date of Patent: March 14, 2006
    Assignee: Siliconix Incorporated
    Inventors: Karl Lichtenberger, Frederick P. Giles, Christiana Yue, Kyle Terrill, Mohamed N. Darwish, Deva Pattanayak, Kam Hong Lui, Robert Q. Xu, Kuo-in Chen
  • Patent number: 7005334
    Abstract: A zero threshold voltage (ZVt) pFET (104) and a method of making the same. The ZVt pFET is made by implanting a p-type substrate (112) with a retrograde n-well (116) so that a pocket (136) of the p-type substrate material remains adjacent the surface of the substrate. This is accomplished using an n-well mask (168) having a pocket-masking region (184) in the aperture (180) corresponding to the ZVt pFET. The n-well may be formed by first creating a ring-shaped precursor n-well (116?) and then annealing the substrate so as to cause the regions of the lower portion (140?) of the precursor n-well to merge with one another to isolate the pocket of p-type substrate material. After the n-well and isolated pocket of p-type substrate material have been formed, remaining structures of the ZVt pFET may be formed, such as a gate insulator (128), gate (132), source (120), and drain (124).
    Type: Grant
    Filed: May 14, 2004
    Date of Patent: February 28, 2006
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey S. Brown, Chung H. Lam, Randy W. Mann, Jeffery H. Oppold
  • Patent number: 7005362
    Abstract: A method of fabricating a TFT includes a step of forming an impurity region for a source and a drain by simultaneously implanting and activating impurity ions. More particularly, the present invention includes the steps of forming a gate insulating layer and a gate on a predetermined and selected portion of an active layer, forming an excited region in the exposed portion of the active layer by implanting hydrogen ions to the active layer by using the gate as a mask, and forming an impurity region by implanting impurity ions heavily to the excited region which remains in an excited state.
    Type: Grant
    Filed: June 7, 2001
    Date of Patent: February 28, 2006
    Assignee: LG.Philips LCD Co., Ltd.
    Inventor: Joon-Young Yang
  • Patent number: 7005363
    Abstract: A semiconductor substrate is provided, and at least one first mask is formed above the semiconductor substrate. The first mask has a plurality of thicknesses and blocks at least one semi-insulating region. A second mask is thereafter formed on a surface of the semiconductor substrate. The second mask covers the semi-insulating region. The semi-insulating region is implanted with a high energy beam of particles by utilizing the second mask and the first mask as particle hindering masks. Finally, the second mask is removed.
    Type: Grant
    Filed: March 18, 2005
    Date of Patent: February 28, 2006
    Assignee: United Microelectronics Corp.
    Inventors: Joey Lai, Water Lur
  • Patent number: 6989319
    Abstract: Methods and arrangements are provided for significantly reducing electron trapping in semiconductor devices having a polysilicon feature and an overlying dielectric layer. The methods and arrangements employ a nitrogen-rich region within the polysilicon feature near the interface to the overlying dielectric layer. The methods include selectively implanting nitrogen ions through at least a portion of the overlying dielectric layer and into the polysilicon feature to form an initial nitrogen concentration profile within the polysilicon feature. Next, the temperature within the polysilicon feature is raised to an adequately high temperature, for example using rapid thermal anneal (RTA) techniques, which cause the initial nitrogen concentration profile to change due to the migration of the majority of the nitrogen towards either the interface with the overlying dielectric layer or the interface with an underlying layer.
    Type: Grant
    Filed: November 24, 2003
    Date of Patent: January 24, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark Ramsbey, Sameer Haddad, Vei-Han Chan, Yu Sun, Chi Chang
  • Patent number: 6949399
    Abstract: When changing a dopant species in an implantation tool, typically a clean process is performed to reduce cross-contamination, which is considered a major issue in implant cycles applied in advanced CMOS processes. Especially, the employment of an implanter previously used for heavy ions may generate increased cross-contamination when subsequently used for boron or phosphorus implants at moderate energies. A clean implant process using xenon gas may effectively reduce this cross-contamination at shorter process times compared to a conventional argon clean step.
    Type: Grant
    Filed: June 24, 2003
    Date of Patent: September 27, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christian Krueger, Niels-Wieland Hauptmann, Thomas Beck
  • Patent number: 6946354
    Abstract: There is provided a method of manufacturing a substrate which has a partial insulating layer under a semiconductor layer. After the first substrate (10c) is formed, it is bonded to the second substrate (20), thereby forming a bonded substrate stack (30). Then, the bonded substrate stack (30) is split at a separation layer (15). In the step of forming the first substrate (10c), a partial insulating layer (12a) is formed on the substrate, a single-crystal Si layer (13) is grown in the partial insulating layer (12a), and a polysilicon layer (14) is grown on the partial insulating layer (12a). After that, ions are implanted into the substrate, thereby forming the separation layer (15) inside the substrate.
    Type: Grant
    Filed: September 4, 2003
    Date of Patent: September 20, 2005
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kiyofumi Sakaguchi
  • Patent number: 6943098
    Abstract: A method of forming a contact opening is provided. First, a substrate having a plurality of conductive structures formed thereon is provided. An ion implantation is performed. Thereafter, a thermal treatment is carried out to form a liner layer on the sidewall of the conductive structure and the exposed substrate. The liner layer on the sidewall of the conductive structure has a thickness smaller than the liner layer on the substrate surface. A spacer is formed on each side of the conductive structure and then an insulation layer is formed over the substrate. The insulation layer is patterned to form a contact opening between two neighboring conductive structures. Since the liner layer on the sidewall of the conductive structures is already quite thin, there is no need to reduce thickness through an etching operation and uniformity of the liner layer on the substrate can be ensured.
    Type: Grant
    Filed: September 23, 2003
    Date of Patent: September 13, 2005
    Assignee: ProMOS Technologies Inc.
    Inventors: Fang-Yu Yeh, Chun-Che Chen
  • Patent number: 6936526
    Abstract: A method of disordering a quantum well heterostructure, including the step of irradiating the heterostructure with a particle beam, wherein the energy of the beam is such that the beam creates a substantially constant distribution of defects within the heterostructure. The irradiating particles can be ions or electrons, and the energy is preferably such that the irradiating particles pass through the heterostructure. Light ions such as hydrogen ions are preferred because they are readily available and produce substantially uniform distributions of point defects at relatively low energies. The method can be used to tune the wavelength range of an optoelectronic device including such a heterostructure, such as a photodetector.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: August 30, 2005
    Assignee: The Australian National University
    Inventors: Lan Fu, Hark Hoe Tan, Chennupati Jagadish
  • Patent number: 6927148
    Abstract: Disclosed are an ion implantation method capable of dramatically increasing an implantation rate of hydrogen ions into a semiconductor substrate and a method for manufacturing an SOI wafer, in which manufacturing efficiency of the SOI wafer is sufficiently high. When the hydrogen ions are implanted to a predetermined depth of the semiconductor substrate, hydrogen gas is introduced into a chamber where an inner pressure is reduced and a predetermined magnetic field is formed, plasma is generated by introducing a microwave into the magnetic field, hydrogen ion beams containing hydrogen molecule ions is extracted from the plasma, and the hydrogen molecule ions are irradiated and implanted onto the semiconductor substrate. Thus, a throughput in the hydrogen ion implantation is improved, thus making it possible to enhance the manufacturing efficiency of the SOI wafer.
    Type: Grant
    Filed: July 14, 2003
    Date of Patent: August 9, 2005
    Assignee: Applied Materials, Inc.
    Inventor: Hiroyuki Ito
  • Patent number: 6927137
    Abstract: A method of forming a retrograde well in a transistor is provided. A transistor structure having a substrate, a gate, and a gate oxide layer between the substrate and the gate is formed. The substrate includes a channel region located generally below the gate. A first dopant is implanted into the channel region. A second dopant is implanted into the substrate to form a doped source region and a doped drain region. A third dopant is implanted into the gate oxide layer. A source/drain anneal is performed to form a source and a drain in the doped source region and the doped drain region, respectively. The source/drain anneal causes a portion of the first dopant in the channel region to be attracted by the third dopant into the gate oxide layer.
    Type: Grant
    Filed: December 1, 2003
    Date of Patent: August 9, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Srinivasan Chakravarthi, Pr Chidambaram, Robert C. Bowen, Haowen Bu
  • Patent number: 6924217
    Abstract: The present invention is provided to form a trench in a semiconductor device, wherein by performing an ion implanting process to an area of a semiconductor substrate in which the trench would be formed to cause lattice defects in the area before forming the trench, an etching speed of the area is increased in subsequent trench forming processes. As a result, it is possible to prevent micro trenches from being formed in edge portions of patterns and to suppress a micro loading effect to be generated depending upon pattern sizes.
    Type: Grant
    Filed: December 10, 2003
    Date of Patent: August 2, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Wook Ryu
  • Patent number: 6916693
    Abstract: In a crystallization process of an amorphous semiconductor film, a first crystalline semiconductor film having crystalline regions, and dotted with amorphous regions within the crystalline regions, is obtained by performing heat treatment processing after introducing a metallic element which promotes crystallization on the amorphous semiconductor film. The amorphous regions are kept within a predetermined range by regulating the heat treatment conditions at this point. Laser annealing is performed on the first crystalline semiconductor film, to form a second crystalline semiconductor film. Electrical characteristics for a TFT manufactured based on the second crystalline semiconductor film can be obtained having less dispersion.
    Type: Grant
    Filed: March 5, 2001
    Date of Patent: July 12, 2005
    Assignees: Semiconductor Energy Laboratory Co., Ltd., Sharp Kabushiki Kaisha
    Inventors: Hideto Ohnuma, Chiho Kokubo, Koichiro Tanaka, Naoki Makita, Shuhei Tsuchimoto
  • Patent number: 6900091
    Abstract: An structure for electrically isolating a semiconductor device is formed by implanting dopant into a semiconductor substrate that does not include an epitaxial layer. Following the implant the structure is exposed to a very limited thermal budget so that dopant does not diffuse significantly. As a result, the dimensions of the isolation structure are limited and defined, thereby allowing a higher packing density than obtainable using conventional processes which include the growth of an epitaxial layer and diffusion of the dopants. In one group of embodiments, the isolation structure includes a deep layer and a sidewall which together form a cup-shaped structure surrounding an enclosed region in which the isolated semiconductor device may be formed. The sidewalls may be formed by a series of pulsed implants at different energies, thereby creating a stack of overlapping implanted regions.
    Type: Grant
    Filed: August 14, 2002
    Date of Patent: May 31, 2005
    Assignee: Advanced Analogic Technologies, Inc.
    Inventors: Richard K. Williams, Michael E. Cornell, Wai Tien Chan
  • Patent number: 6900099
    Abstract: A flash memory cell. The memory cell includes a substrate, a floating gate, a control gate, and a source/drain region. The floating gate, disposed over the substrate and insulated from the substrate, has a plurality of hut structures. The control gate is disposed over the floating gate and insulated from the floating gate. The source/drain region is formed in the substrate. This invention further includes a method of fabricating a flash memory cell. First, a polysilicon layer and a germanium layer are successively formed over a substrate and insulated from the substrate. Subsequently, the substrate is annealed to form a germanium layer having a plurality of hut structures on the polysilicon layer to serve as a floating gate with the polysilicon layer. Next, a control gate is formed over the floating gate and insulated from the floating gate. Finally, a source/drain region is formed in the substrate.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: May 31, 2005
    Assignee: Nanya Technology Corporation
    Inventor: Yung-Meng Huang
  • Patent number: 6893931
    Abstract: A method for fabricating an NPN bipolar transistor comprises forming a base layer on a top surface of a substrate. The NPN bipolar transistor may be an NPN silicon-germanium heterojunction bipolar transistor. The method for fabricating the NPN bipolar transistor may further comprise a cap layer situated over the base layer. According to this embodiment, the method for fabricating the NPN bipolar transistor further comprises fabricating an emitter over the base layer, where the emitter defines an intrinsic and an extrinsic base region of the base layer. The emitter may comprise, for example, polycrystalline silicon. The method for fabricating the NPN bipolar transistor further comprises implanting germanium in the extrinsic base region of the base layer so as to make the extrinsic base region substantially amorphous. The method for fabricating the NPN bipolar transistor further comprises implanting boron in the extrinsic base region of the base layer.
    Type: Grant
    Filed: November 7, 2002
    Date of Patent: May 17, 2005
    Assignee: Newport Fab, LLC
    Inventors: David Howard, Marco Racanelli, Greg D. U'Ren
  • Patent number: 6891232
    Abstract: A semiconductor device comprises: a semiconductor substrate; a gate insulating film formed on the top surface of the semiconductor substrate; a gate electrode formed on the gate insulating film; diffusion layers formed in the semiconductor substrate to be used a source layer and a drain layer; and a silicide layer formed to overlie the diffusion layers; wherein an oxygen concentration peak, where oxygen concentration is maximized, is at a level lower than said top surface in a cross-section taken along a plane perpendicular to said top surface.
    Type: Grant
    Filed: January 30, 2003
    Date of Patent: May 10, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kiyotaka Miyano, Kazuya Ohuchi, Ichiro Mizushima
  • Patent number: 6884632
    Abstract: A magnetoresistive (MR) sensor can be shaped using ion beam irradiation and/or implantation through a mask introduced between a MR structure and an ion source. The mask covers selected portions of the MR structure to define the track width of the sensor. Ion irradiation and/or implantation reduces the magnetoresistance of the unmasked portions while leaving the masked portion substantially unaltered. The mask can be a photoresist mask, an electron beam resist mask, or a stencil mask. Alternatively the mask may be part of a projection ion beam system. Track width resolution is determined at the mask production step. The edges of the sensor can be defined by a highly collimated ion beam producing an extremely straight transition edge, which reduces sensor noise and improves sensor track width control. Improved hard bias layers that directly abut the sensor may be used to achieve a suitable stability. A variety of longitudinal bias schemes are compatible with ion beam patterning.
    Type: Grant
    Filed: January 26, 2004
    Date of Patent: April 26, 2005
    Assignee: International Business Machines Corporation
    Inventors: John Edward Eric Baglin, Liesl Folks, Bruce Alvin Gurney, Bruce David Terris
  • Patent number: 6872642
    Abstract: A method of manufacturing a semiconductor device is provided which can suppress leakage current increases by making into silicide. Impurity that suppresses silicide formation reaction (suppression impurity), such as germanium, is introduced into source/drain regions (16, 36) from their upper surfaces. In the source/drain regions (16, 36), a region shallower than a region where the suppression impurity is distributed (50) is made into silicide, so that a silicide film (51) is formed in the source/drain regions (16, 36). Thus, by making the region shallower than the region (50) into silicide, it is possible to suppress that silicide formation reaction extends to the underside of the region to be made into silicide. This enables to reduce the junction leakage between the source/drain regions (16, 36) and a well region.
    Type: Grant
    Filed: May 21, 2003
    Date of Patent: March 29, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Hidekazu Oda, Hirokazu Sayama, Kazunobu Ohta, Kouhei Sugihara
  • Patent number: 6861320
    Abstract: The invention provides a method of making silicon-on-insulator SOI substrates with nitride buried insulator layer by implantation of molecular deuterated ammonia ions ND3+, instead of implanting nitrogen ions (N+, or N2+) as is done in prior art nitride SOI processes. The resultant structure, after annealing, has a buried insulator with a defect density which is substantially lower than in prior art nitride SOI. The deuterated nitride SOI substrates allow much better heat dissipation than SOI with a silicon dioxide buried insulator. These substrates can be used for manufacturing of high speed and high power dissipation monolithic integrated circuits.
    Type: Grant
    Filed: April 4, 2003
    Date of Patent: March 1, 2005
    Assignee: Silicon Wafer Technologies, Inc.
    Inventor: Alexander Usenko
  • Patent number: 6849527
    Abstract: The mobility enhancement of a strained silicon layer is augmented through incorporation of carbon into a strained silicon lattice to which strain is also imparted by an underlying silicon germanium layer. The presence of the relatively small carbon atoms effectively increases the spacing within the strained silicon lattice and thus imparts additional strain. This enhancement may be implemented for any MOSFET device including silicon on insulator MOSFETs, and is preferably selectively implemented for the PMOS components of CMOS devices to achieve approximately equal carrier mobility for the PMOS and NMOS devices.
    Type: Grant
    Filed: October 14, 2003
    Date of Patent: February 1, 2005
    Assignee: Advanced Micro Devices
    Inventor: Qi Xiang
  • Patent number: 6849883
    Abstract: A MOSFET device including a semiconductor substrate, an SiGe layer provided on top of the semiconductor substrate, an Si layer provided on top of the SiGe layer; and a first isolation region for separating the Si layer into a first region and a second region, wherein the Si layer in the second region is turned into an Si epitaxial layer greater in thickness than the Si layer in the first region. The MOSFET device further includes at least one first MOSFET with the Si layer in the first region serving as a strained Si channel, and at least one second MOSFET with the Si epitaxial layer serving as an Si channel.
    Type: Grant
    Filed: March 21, 2003
    Date of Patent: February 1, 2005
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Masao Okihara
  • Patent number: 6846718
    Abstract: A method for producing an SOI wafer by the hydrogen ion delamination method comprising at least a step of bonding a base wafer and a bond wafer having a micro bubble layer formed by gas ion implantation and a step of delaminating a wafer having an SOI layer at the micro bubble layer as a border, wherein, after the delamination step, the wafer having an SOI layer is subjected to a two-stage heat treatment in an atmosphere containing hydrogen or argon utilizing a rapid heating/rapid cooling apparatus (RTA) and a batch processing type furnace. Preferably, the heat treatment by the RTA apparatus is performed first. Surface roughness of an SOI layer surface delaminated by the hydrogen ion delamination method is improved over the range from short period to long period, and SOI wafers free from generation of pits due to COPs in SOI layers are efficiently produced with high throughput.
    Type: Grant
    Filed: October 13, 2000
    Date of Patent: January 25, 2005
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Hiroji Aga, Naoto Tate, Susumu Kuwabara, Kiyoshi Mitani
  • Patent number: 6838363
    Abstract: The introduction of a barrier diffusion material, such as nitrogen, into a silicon-containing conductive region, for example the drain and source regions and the gate electrode of a field effect transistor, allows the formation of nickel silicide, which is substantially thermally stable up to temperatures of 500° C. Thus, the device performance may significantly improve as the sheet resistance of nickel silicide is significantly less than that of nickel disilicide.
    Type: Grant
    Filed: March 28, 2003
    Date of Patent: January 4, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Karsten Wieczorek, Thorsten Kammler, Manfred Horstmann
  • Publication number: 20040266129
    Abstract: Disclosed herein is a method of providing improved electrical isolation in a separation by ion implanted oxide (SIMOX) process of making an SOI wafer. The method includes implanting ions into a substrate in a base dose implant conducted at a first energy level, implanting ions into the substrate at a second energy level in a second implant while the substrate is held at room temperature, and annealing the substrate to cause the implanted ions to be redistributed throughout the buried oxide (BOX) layer of the SOI wafer.
    Type: Application
    Filed: June 27, 2003
    Publication date: December 30, 2004
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Joel P. DeSouza, Harold J. Hovel, Junedong Lee, Siegfried L. Maurer, Devendra K. Sadana, Dominic Schepis, Ghavam Shahidi, Neena Garg
  • Publication number: 20040266213
    Abstract: Oxidation methods and resulting structures including providing an oxide layer on a substrate and then re-oxidizing the oxide layer by vertical ion bombardment of the oxide layer in an atmosphere containing at least one oxidant. The oxide layer may be provided over diffusion regions, such as source and drain regions, in a substrate. The oxide layer may overlie the substrate and is proximate a gate structure on the substrate. The at least one oxidant may be oxygen, water, ozone, or hydrogen peroxide, or a mixture thereof. These oxidation methods provide a low-temperature oxidation process, less oxidation of the sidewalls of conductive layers in the gate structure, and less current leakage to the substrate from the gate structure.
    Type: Application
    Filed: June 30, 2004
    Publication date: December 30, 2004
    Inventors: Li Li, Pai-Hung Pan
  • Publication number: 20040241968
    Abstract: An impurity diffusion layer that structures a source region (15) and a drain electrode (16) of a pMOS 11 is formed extremely shallow, with a depth of approximately 50 nm. The extremely shallow impurity diffusion layer is formed by carrying out annealing process using RLSA plasma, after ion implantation processing at a low energy. In the annealing process, only silicon atoms near the surface of a silicon substrate (12) are selectively excited by the RLSA plasma, and impurity diffusion towards depth direction is suppressed.
    Type: Application
    Filed: February 27, 2004
    Publication date: December 2, 2004
    Inventors: Shigemi Murakawa, Shinichi Sato, Toshio Nakanishi
  • Publication number: 20040235280
    Abstract: A method of forming a shallow junction in a semiconductor substrate is disclosed. The method of one embodiment comprises preamorphizing a first region of a semiconductor substrate to a first depth and implanting recrystallization inhibitors into a second region of the semiconductor substrate. The second region is a part of the first region and has a second depth. Next, a dopant is implanted into a third region of the semiconductor substrate with the third region being a part of the second region and a first annealing is performed to selectively recrystallize the first region that has no recrystallization inhibitors. Next, a second annealing is performed to recrystallize the second region and diffuse the dopant within the second region.
    Type: Application
    Filed: May 20, 2003
    Publication date: November 25, 2004
    Inventors: Patrick H. Keys, Stephen M. Cea
  • Publication number: 20040235273
    Abstract: Disclosed are an SOI substrate and a method for manufacturing the same. The SOI substrate comprises a silicon substrate including an active region defined by a field region. The field region includes a first oxygen-ion-injected isolation region having a first thickness and being formed under the active region. The center of the first region is at a first depth from a top surface of the silicon substrate. The field region of the SOI substrate further includes a second oxygen-ion-injected region having a second thickness greater than the first thickness. The second region is formed at sides of the active region and is also formed from a top surface of the silicon substrate. The center of the second ion injected region is at a second depth from the top surface of the silicon substrate. The first and second ion injected regions surround the active region for device isolation. The SOI substrate is formed by implementing two sequential ion injecting processes.
    Type: Application
    Filed: June 22, 2004
    Publication date: November 25, 2004
    Applicant: Samsung Electronics Co., Ltd.
    Inventor: Tae-Ho Jang
  • Patent number: 6818529
    Abstract: A silicon on insulator substrate apparatus for fabricating an active-matrix liquid crystal display is described herein. The silicon on insulator substrate may include a handle substrate and a plurality of crystalline silicon donor portions bonded to the handle substrate. The crystalline silicon donor portions may be bonded to the handle substrate by providing a plurality of donor substrates and forming a separation layer within each donor substrate. The donor substrates may be arranged across a surface of the handle substrate and subsequently bonded to the handle substrate. The donor substrates may then be cleaved at their respective separation layers and removed from the handle substrate, thereby leaving a donor portion of each donor substrate attached the handle substrate.
    Type: Grant
    Filed: September 12, 2002
    Date of Patent: November 16, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Robert Bachrach, Kam Law
  • Publication number: 20040224470
    Abstract: The present invention provides, in one embodiment, a method of fabricating a semiconductor device (100). The method comprises exposing a portion (125) of an n-type substrate (105) to an arsenic dimer (130). The method also includes forming a p-type lightly doped drain (LDD) region (145) within the portion of the n-type substrate (125). Other embodiments advantageously incorporate the method into methods for making PMOS devices.
    Type: Application
    Filed: May 5, 2003
    Publication date: November 11, 2004
    Applicant: Texas Instruments, Incorporated
    Inventors: Tim J. Makovicka, Alan L. Kordick
  • Patent number: 6815295
    Abstract: In a semiconductor device and a method of manufacturing the same according to the present invention, a trade-off relationship between threshold values and a diffusion layer leakage is eliminated and it is not necessary to form gate oxide films at more than one stages. Since doses of nitrogen are different from each other between gate electrodes (4A to 4C) of N-channel type MOS transistors (T41 to T43), concentrations of nitrogen in the nitrogen-introduced regions (N1 to N3) are accordingly different from each other. Concentrations of nitrogen in the gate electrodes are progressively lower in the order of expected higher threshold values.
    Type: Grant
    Filed: October 28, 1999
    Date of Patent: November 9, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Shuichi Ueno, Yoshinori Okumura, Shigenobu Maeda, Shigeto Maegawa
  • Publication number: 20040219769
    Abstract: The preferred embodiment of the present invention overcomes the limitations of the prior art and provides a device and method to increase the latch-up immunity of CMOS devices by forming a non-dopant region near the edge of a dopant region. The preferred embodiment method to increase the latch-up immunity of CMOS devices uses hybrid photoresist to selectively form non-dopant implants near the edges of the N-well and/or P-well. The non-dopant implants suppress diffusion of dopant in the wells resulting in greater control of well spacing, and hence reducing the gain of the parasitic transistor. This reduces the propensity of the CMOS device to latch-up. The preferred embodiment method allows the non-dopant implants to be formed without requiring additional masking steps over the prior art methods.
    Type: Application
    Filed: November 16, 2001
    Publication date: November 4, 2004
    Inventors: Steven H. Voldman, Louis D. Lanzerotu
  • Patent number: 6812114
    Abstract: A method of fabricating a silicon-on-insulator (SOI) substrate including an ultra-thin top Si-containing layer and at least one patterned buried semi-insulating or insulating region having well defined edges is provided. The method includes a step of implanting first ions into a surface of a Si-containing substrate so as to form a first implant region of the first ions in the Si-containing substrate. Following the implantation of first ions, a first annealing step is performed which forms a buried semi-insulating or insulating region within the Si-containing substrate. Next, second ions that are insoluble in the semi-insulating or insulating region are selectively implanted into portions of the buried semi-insulating or insulating region. After the selective implant step, a second annealing step is performed which recrystallizes the buried semi-insulating or insulating region that includes second ions to the same crystal structure as the original Si-containing substrate.
    Type: Grant
    Filed: April 10, 2002
    Date of Patent: November 2, 2004
    Assignee: International Business Machines Corporation
    Inventors: Tze-chiang Chen, Devendra K. Sadana
  • Patent number: 6806151
    Abstract: Methods and apparatus are disclosed for selectively inducing stress in a semiconductor device, wherein a first region of a substrate is implanted so as to induce stress in a second region. An electrical device is formed at least partially in the second region, wherein the induced stress therein may improve one or more operational characteristics of the device, such as channel region carrier mobility.
    Type: Grant
    Filed: December 14, 2001
    Date of Patent: October 19, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Christoph Wasshuber, Keith A. Joyner