Possessing Plural Conductive Layers (e.g., Polycide) Patents (Class 438/592)
  • Patent number: 9349731
    Abstract: A method for manufacturing a semiconductor device includes forming an insulation film including a trench on a substrate, forming a first metal gate film pattern and a second metal gate film pattern in the trench, redepositing a second metal gate film on the first and second metal gate film patterns and the insulation film, and forming a redeposited second metal gate film pattern on the first and second metal gate film patterns by performing a planarization process for removing a portion of the redeposited second metal gate film so as to expose a top surface of the insulation film, and forming a blocking layer pattern on the redeposited second metal gate film pattern by oxidizing an exposed surface of the redeposited second metal gate film pattern.
    Type: Grant
    Filed: October 9, 2012
    Date of Patent: May 24, 2016
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ju-Youn Kim, Je-Don Kim
  • Patent number: 9324707
    Abstract: Integrated circuits and methods of manufacture and design thereof are disclosed. For example, a method of manufacturing includes using a first mask to pattern a gate material forming a plurality of first and second features. The first features form gate electrodes of the semiconductor devices, whereas the second features are dummy electrodes. Based on the location of these dummy electrodes, selected dummy electrodes are removed using a second mask. The use of the method provides greater flexibility in tailoring individual devices for different objectives.
    Type: Grant
    Filed: July 3, 2014
    Date of Patent: April 26, 2016
    Assignee: Infineon Technologies AG
    Inventors: Henning Haffner, Manfred Eller, Richard Lindsay
  • Patent number: 9312324
    Abstract: Embodiments of the invention provide an organic thin film transistor, an organic thin film transistor array substrate and a display device. The organic thin film transistor comprises a transparent substrate; source and drain electrodes formed on the transparent substrate; an active layer formed on the transparent substrate by an organic semiconductor material and disposed between the source and drain electrodes; a gate insulating layer formed on the active layer; a gate electrode formed on the gate insulating layer; and first and second banks disposed on the transparent substrate, inner sides of the first and second banks being covered by the source and drain electrodes, respectively.
    Type: Grant
    Filed: November 8, 2012
    Date of Patent: April 12, 2016
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventor: Ze Liu
  • Patent number: 9293337
    Abstract: A semiconductor device including a conductive layer, a diffusion barrier layer formed over the conductive layer, including a refractory metal compound, and acquired after a surface treatment, and a metal silicide layer formed over the diffusion barrier layer. The adhesion between a diffusion barrier layer and a metal silicide layer may be improved by increasing the surface energy of the diffusion barrier layer through a surface treatment. Therefore, although the metal silicide layer is fused in a high-temperature process, it is possible to prevent a void from being caused at the interface between the diffusion barrier layer and the metal silicide layer. Moreover, it is possible to increase the adhesion between a conductive layer and the diffusion barrier layer by increasing the surface energy of the conductive layer through the surface treatment.
    Type: Grant
    Filed: September 2, 2014
    Date of Patent: March 22, 2016
    Assignee: SK Hynix Inc.
    Inventors: Sung-Jin Whang, Moon-Sig Joo, Kwon Hong, Jung-Yeon Lim, Won-Kyu Kim, Bo-Min Seo, Kyoung-Eun Chang
  • Patent number: 9281310
    Abstract: A method for fabricating a semiconductor device includes forming an NMOS region and a PMOS region in a substrate, forming a first stack layer including a first gate dielectric layer and a first work function layer that is disposed over the first gate dielectric layer and contains aluminum, over the PMOS region of the substrate, forming a second stack layer including a second gate dielectric layer, a threshold voltage modulation layer that is disposed over the second gate dielectric layer and contains lanthanum, and a second work function layer that is disposed over the threshold voltage modulation layer, over the NMOS region of the substrate, and annealing the first stack layer and the second stack layer, thereby forming a first dipole-interface by diffusion of the aluminum in the first gate dielectric layer and a second dipole-interface by diffusion of the lanthanum in the second gate dielectric layer, respectively.
    Type: Grant
    Filed: March 14, 2014
    Date of Patent: March 8, 2016
    Assignee: SK Hynix Inc.
    Inventors: Yun-Hyuck Ji, Se-Aug Jang, Seung-Mi Lee, Hyung-Chul Kim
  • Patent number: 9252273
    Abstract: A process for fabrication of semiconductor devices, particularly fin-shaped Field Effect Transistors (FinFETs), having a low contact horizontal resistance and a resulting device are provided. Embodiments include: providing a substrate having source and drain regions separated by a gate region; forming a gate electrode having a first length on the gate region; forming an epitaxy layer on the source and drain regions; forming a contact layer having a second length, longer than the first length, at least partially on the epitaxy layer; and forming an oxide layer on top and side surfaces of the contact layer for at least the first length.
    Type: Grant
    Filed: January 3, 2014
    Date of Patent: February 2, 2016
    Assignee: GLOBALFOUNDRIES Inc.
    Inventor: Hui Zang
  • Patent number: 9240483
    Abstract: A semiconductor device includes a fin-type active region; a gate dielectric layer covering an upper surface and opposite lateral surfaces of the fin-type active region; and a gate line extending on the gate dielectric layer to cover the upper surface and opposite lateral surfaces of the fin-type active region and to cross the fin-type active region. The gate line includes an aluminum (Al) doped metal-containing layer extending to cover the upper surface and opposite lateral surfaces of the fin-type active region to a uniform thickness, and a gap-fill metal layer extending on the Al doped metal-containing layer over the fin-type active region. Related fabrication methods are also described.
    Type: Grant
    Filed: November 26, 2012
    Date of Patent: January 19, 2016
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: June-hee Lee, Jae-yeol Song, Hye-Ian Lee, Hong-bae Park, Sang-jin Hyun
  • Patent number: 9236345
    Abstract: Contact openings are formed into a dielectric material exposing a surface portion of a semiconductor substrate. An interfacial oxide layer is then formed in each contact opening and on an exposed surface portion of the interfacial oxide layer. A NiPt alloy layer is formed within each opening and on the exposed surface portion of each interfacial oxide layer. An anneal is then performed that forms a contact structure of, from bottom to top, a nickel disilicide alloy body having an inverted pyramidal shape, a Pt rich silicide cap region and an oxygen rich region. A metal contact is then formed within each contact opening and atop the oxygen rich region of each contact structure.
    Type: Grant
    Filed: March 24, 2014
    Date of Patent: January 12, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Emre Alptekin, Nicolas L. Breil, Christian Lavoie, Ahmet S. Ozcan, Kathryn T. Schonenberg
  • Patent number: 9230954
    Abstract: The present invention provides a LDNMOS device for an ESD protection structure, by means of disposing a metal portion above the isolation portion and overlapping thereof, so as to protect the internal device from ESD more completely, comprising: a substrate; an ILD; a deep N-well region; a P-body region; a doped region, the doped region defines a diffusion area on the top thereof; a Poly gate electrode; an isolation structure disposed between the Poly gate electrode and the doped region; a contact portion connecting to the diffusion area of the doped region; and a metal portion disposed above the doped region, connecting to the contact portion. Wherein there is an overlap between the isolation structure and the metal portion, the direction of the overlap is parallel to the direction of channel length.
    Type: Grant
    Filed: May 20, 2015
    Date of Patent: January 5, 2016
    Assignee: UNITED MICROELECTRONICS CORPORATION
    Inventor: Chi-Hong Wu
  • Patent number: 9209258
    Abstract: An improved method for fabricating a semiconductor device is provided. The method includes: depositing a dielectric layer on a substrate; depositing a first cap layer on the dielectric layer; depositing an etch stop layer on the dielectric layer; and depositing a dummy cap layer on the etch stop layer to form a partial gate structure. Also provided is a partially formed semiconductor device. The partially formed semiconductor device includes: a substrate; a dielectric layer on the substrate; a first cap layer on the dielectric layer; an etch stop layer on the dielectric layer; and a dummy cap layer on the etch stop layer forming a partial gate structure.
    Type: Grant
    Filed: March 3, 2014
    Date of Patent: December 8, 2015
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Feng Zhou, Tien-Ying Luo, Haiting Wang, Padmaja Nagaiah, Jean-Baptiste Laloe, Isabelle Pauline Ferain, Yong Meng Lee
  • Patent number: 9202815
    Abstract: According to various embodiments, a method for processing a carrier may include: doping a carrier with fluorine such that a first surface region of the carrier is fluorine doped and a second surface region of the carrier is at least one of free from the fluorine doping or less fluorine doped than the first surface region; and oxidizing the carrier to grow a first gate oxide layer from the first surface region of the carrier with a first thickness and simultaneously from the second surface region of the carrier with a second thickness different from the first thickness.
    Type: Grant
    Filed: June 20, 2014
    Date of Patent: December 1, 2015
    Assignee: INFINEON TECHNOLOGIES AG
    Inventors: Kerstin Kaemmer, Thomas Bertrams, Henning Feick, Olaf Storbeck, Matthias Schmeide
  • Patent number: 9196528
    Abstract: Disclosed herein are various methods and structures using contacts to create differential stresses on devices in an integrated circuit (IC) chip. An IC chip is disclosed having a p-type field effect transistor (PFET) and an n-type field effect transistor (NFET), a PFET contact to a source/drain region of the PFET and an NFET contact to a source/drain region of the NFET. In a first embodiment, a silicon germanium (SiGe) layer is included only under the PFET contact, between the PFET contact and the source/drain region of the PFET. In a second embodiment, either the PFET contact extends into the source/drain region of the PFET or the NFET contact extends into the source/drain region of the NFET.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: November 24, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: John J. Ellis-Monaghan, Jeffrey P. Gambino, Kirk D. Peterson, Jed H. Rankin, Robert R. Robison
  • Patent number: 9190280
    Abstract: A method for manufacturing a semiconductor device including: preparing a semiconductor substrate with a gate oxide layer on the top thereof; depositing a polycrystalline silicon layer on the top of the semiconductor substrate; depositing a protection layer overlying the top of the polycrystalline silicon layer; etching the protection layer and the polycrystalline silicon layer to form a gate body block; forming an oxide layer overlying the gate body block and the semiconductor substrate; polishing the oxide layer through Chemical Mechanical Polishing (CMP) until the top of the gate body block; removing the protection layer on the top of the gate body block; and forming a metal silicide layer on the gate body block.
    Type: Grant
    Filed: December 4, 2013
    Date of Patent: November 17, 2015
    Assignees: PEKING UNIVERSITY FOUNDER GROUP CO., LTD., FOUNDER MICROELECTRONICS INTERNATIONAL CO., LTD.
    Inventor: Zhengfeng Wen
  • Patent number: 9153668
    Abstract: A fin field effect transistor (FinFET) having a tunable tensile strain and an embodiment method of tuning tensile strain in an integrated circuit are provided. The method includes forming a source/drain region on opposing sides of a gate region in a fin, forming spacers over the fin, the spacers adjacent to the source/drain regions, depositing a dielectric between the spacers; and performing an annealing process to contract the dielectric, the dielectric contraction deforming the spacers, the spacer deformation enlarging the gate region in the fin.
    Type: Grant
    Filed: May 23, 2013
    Date of Patent: October 6, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuo-Cheng Ching, Zhi-Chang Lin, Guan-Lin Chen, Ting-Hung Hsu, Jiun-Jia Huang
  • Patent number: 9147578
    Abstract: Embodiments provide methods for treating a metal silicide contact which includes positioning a substrate having an oxide layer disposed on a metal silicide contact surface within a processing chamber, cleaning the metal silicide contact surface to remove the oxide layer while forming a cleaned silicide contact surface during a cleaning process, and exposing the cleaned silicide contact surface to a silicon-containing compound to form a recovered silicide contact surface during a regeneration process. In some examples, the cleaning of the metal silicide contact surface includes cooling the substrate to an initial temperature of less than 65° C., forming reactive species from a gas mixture of ammonia and nitrogen trifluoride by igniting a plasma, exposing the oxide layer to the reactive species to form a thin film, and heating the substrate to about 100° C. or greater to remove the thin film from the substrate while forming the cleaned silicide contact surface.
    Type: Grant
    Filed: January 11, 2011
    Date of Patent: September 29, 2015
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Xinliang Lu, Chien-Teh Kao, Chiukin Steve Lai, Mei Chang
  • Patent number: 9129945
    Abstract: The invention provides a method of forming a film stack on a substrate, comprising depositing a tungsten nitride layer on the substrate, subjecting the substrate to a nitridation treatment using active nitrogen species from a remote plasma, and depositing a conductive bulk layer directly on the tungsten nitride layer without depositing a tungsten nucleation layer on the tungsten nitride layer as a growth site for tungsten.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: September 8, 2015
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Sang-Hyeob Lee, Sang Ho Yu, Wei Ti Lee, Seshadri Ganguli, Hyoung-Chan Ha, Hoon Kim
  • Patent number: 9123827
    Abstract: A method for fabricating an integrated circuit includes providing a semiconductor substrate including a gate electrode structure thereon and sidewall spacers along sidewalls of the gate electrode structure to a first height along the sidewalls, forming a planarizing carbon-based polymer layer over the gate electrode structure and over the sidewall spacers, and etching a portion of the optical planarization layer to expose a top portion the gate electrode structure. Further, the method includes etching an upper portion of the sidewall spacers selective to the gate electrode structure so as to expose the sidewalls of the upper portion of the gate electrode structure and depositing a silicide-forming material over the top portion of the gate electrode structure and the sidewalls of the upper portion of the gate electrode structure. Still further, the method includes annealing the silicide-forming material.
    Type: Grant
    Filed: January 13, 2014
    Date of Patent: September 1, 2015
    Assignee: GLOBALFOUNDRIES, INC.
    Inventors: Sven Beyer, Jan Hoentschel, Alexander Ebermann, Carsten Grass
  • Patent number: 9099439
    Abstract: A semiconductor device includes a substrate, an epi-layer, an etch stop layer, an interlayer dielectric (ILD) layer, a silicide layer cap and a contact plug. The substrate has a first portion and a second portion neighboring to the first portion. The etch stop layer is disposed on the second portion. The ILD layer is disposed on the etch stop layer. The silicide cap is disposed on the epi-layer. The contact plug is disposed on the silicide cap and surrounded by the ILD layer.
    Type: Grant
    Filed: November 14, 2013
    Date of Patent: August 4, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chih-Fu Chang, Jen-Pan Wang
  • Patent number: 9048236
    Abstract: A semiconductor device includes an interlayer insulating film formed on a substrate, the insulating layer including a trench. A gate insulating layer is formed on a bottom surface of the trench and a reaction prevention layer is formed on the gate insulating layer on the bottom surface of the trench. A replacement metal gate structure is formed on the reaction prevention layer of the trench to fill the trench.
    Type: Grant
    Filed: July 22, 2013
    Date of Patent: June 2, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ju-Youn Kim, Jong-Mil Youn, Jong-Joon Park, Kwang-Yong Jang, Jun-Sun Hwang
  • Patent number: 9040405
    Abstract: A method of forming a semiconductor device including forming a dielectric material layer on a semiconductor layer, forming a gate electrode material layer on the dielectric material layer, forming mask features on the gate electrode material layer, forming a spacer layer on and at sidewalls of the mask features and on the gate electrode material layer between the mask features, removing the spacer layer from the gate electrode material layer between the mask features, and etching the gate electrode material layer and dielectric material layer using the hard mask features as an etch mask to obtain gate electrode structures. A semiconductor device including first and second gate electrode structures, each covered by a cap layer that comprises a mask material surrounded at the sidewalls thereof by a spacer material different from the mask material, and the distance between the first and second electrode structures is at most 100 nm.
    Type: Grant
    Filed: October 1, 2013
    Date of Patent: May 26, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Tom Hasche, Sven Beyer, Gerhard Lembach, Alexander Ebermann
  • Publication number: 20150137273
    Abstract: A methodology for forming a self-aligned contact (SAC) that exhibits reduced likelihood of a contact-to-gate short circuit failure and the resulting device are disclosed. Embodiments may include forming a replacement metal gate, with spacers at opposite sides thereof, on a substrate, forming a recess in an upper surface of the spacers along outer edges of the replacement metal gate, and forming an aluminum nitride (AlN) cap over the metal gate and in the recess.
    Type: Application
    Filed: November 15, 2013
    Publication date: May 21, 2015
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Xunyuan ZHANG, Xiuyu CAI, Hoon KIM
  • Patent number: 9034746
    Abstract: A method for performing silicidation of gate electrodes includes providing a semiconductor device having first and second transistors with first and second gate electrodes formed on a semiconductor substrate, forming an oxide layer on the first and second gate electrodes and the semiconductor substrate, forming a cover layer on the oxide layer, and back etching the cover layer to expose portions of the oxide layer above the first and second gate electrodes while maintaining a portion of the cover layer between the first and second gate electrodes. Furthermore, the exposed portions of the oxide layer are removed from the first and second gate electrodes to expose upper portions of the first and second gate electrodes, while maintaining a portion of the oxide layer between the first and second gate electrodes, and a silicidation of the exposed upper portions of the first and second gate electrodes is performed.
    Type: Grant
    Filed: October 27, 2014
    Date of Patent: May 19, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Joachim Patzer, Ardechir Pakfar, Clemens Fitz, Dominic Thurmer
  • Patent number: 9034710
    Abstract: A method of forming a nonvolatile memory cell includes forming a first electrode and a second electrode of the memory cell. Sacrificial material is provided between the first second electrodes. The sacrificial material is exchanged with programmable material. The sacrificial material may additionally be exchanged with select device material.
    Type: Grant
    Filed: January 7, 2014
    Date of Patent: May 19, 2015
    Assignee: Micron Technology, Inc.
    Inventors: Scott E. Sills, Gurtej S. Sandhu
  • Patent number: 9034675
    Abstract: Techniques are provided for manufacturing a light-emitting device having high internal quantum efficiency, consuming less power, having high luminance, and having high reliability. The techniques include forming a conductive light-transmitting oxide layer comprising a conductive light-transmitting oxide material and silicon oxide, forming a barrier layer in which density of the silicon oxide is higher than that in the conductive light-transmitting oxide layer over the conductive light-transmitting oxide layer, forming an anode having the conductive light-transmitting oxide layer and the barrier layer, heating the anode under a vacuum atmosphere, forming an electroluminescent layer over the heated anode, and forming a cathode over the electroluminescent layer. According to the techniques, the barrier layer is formed between the electroluminescent layer and the conductive light-transmitting oxide layer.
    Type: Grant
    Filed: June 9, 2014
    Date of Patent: May 19, 2015
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Kengo Akimoto, Junichiro Sakata, Yoshiharu Hirakata, Norihito Sone
  • Patent number: 9035397
    Abstract: A method for manufacturing a gate structure may include the following steps: providing a stack on a substrate, the first stack including (from top to bottom) a dummy layer, a first TiN layer, a TaN layer, a second TiN layer, a high-k first dielectric layer, and an interfacial layer; etching the stack to result in a remaining stack that includes at least a remaining dummy layer, a first remaining TiN layer, and a remaining TaN layer; providing an etching stop layer on the substrate; providing a second dielectric layer on the etching stop layer; performing planarization according to the remaining dummy layer; removing the remaining dummy layer and a first portion of the first remaining TiN layer using a dry etching process; removing a second portion of the first remaining TiN layer using a wet etching process; and providing a metal gate layer on the remaining TaN layer.
    Type: Grant
    Filed: June 21, 2013
    Date of Patent: May 19, 2015
    Assignee: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventors: Aileen Li, Jinghua Ni, David Han
  • Patent number: 9029255
    Abstract: A method for fabricating a semiconductor device is provided. A first polysilicon layer of a first conductivity type is provided on a substrate having first and second active regions. An ion implantation process is performed in the polysilicon layer corresponding to the second active region by using a dopant of a second conductivity type opposite to the first conductivity type, and silane plasma is introduced during the ion implantation process to form a second polysilicon layer thereon and convert the first conductivity type of the first polysilicon layer corresponding to the second active region to the second conductivity type. The first and second polysilicon layers are patterned to form a first gate layer corresponding to the first active region and a second gate layer corresponding to the second active region. A semiconductor device is also provided.
    Type: Grant
    Filed: August 24, 2012
    Date of Patent: May 12, 2015
    Assignee: Nanya Technology Corporation
    Inventors: Yu-Wei Liang, Hai-Han Hung, Pei-Chi Wu
  • Patent number: 9024388
    Abstract: One illustrative method disclosed herein includes forming replacement gate structures for an NMOS transistor and a PMOS transistor by forming gate insulation layers and a first metal layer for the devices from the same materials and selectively forming a metal-silicide material layer only on the first metal layer for the NMOS device but not on the PMOS device. One example of a novel integrated circuit product disclosed herein includes an NMOS device and a PMOS device wherein the gate insulation layers and the first metal layer of the gate structures of the devices are made of the same material, the gate structure of the NMOS device includes a metal silicide material positioned on the first metal layer of the NMOS device, and a second metal layer that is positioned on the metal silicide material for the NMOS device and on the first metal layer for the PMOS device.
    Type: Grant
    Filed: June 17, 2013
    Date of Patent: May 5, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Kisik Choi, Ruilong Xie
  • Patent number: 9023725
    Abstract: A device and methods for forming the device are disclosed. The method includes providing a substrate. A gate having a gate electrode and sidewall spacers are formed adjacent to sidewalls of the gate. A height HG of the gate is lower than a height HS of the sidewall spacers. A metal or metal alloy layer is deposited over the spacers, gate and the substrate. The substrate is processed to form metal silicide contact at least over the gate electrode. A top surface of the metal silicide contact over the gate electrode is about coplanar with a top of the sidewall spacer, and the difference between the height of the gate and spacers prevent formation of metal silicide filaments on top of the sidewall spacers.
    Type: Grant
    Filed: December 19, 2012
    Date of Patent: May 5, 2015
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Kwee Liang Yeo, Chim Seng Seet, Zheng Zou, Alex See
  • Patent number: 9018710
    Abstract: A semiconductor device includes a substrate including first and second regions. A first gate stack structure containing a first effective work function adjust species is formed over the first region and a second gate stack structure containing a second effective work function adjust species is formed over the second region. A channel region is formed under the first gate stack structure and contains a threshold voltage adjust species.
    Type: Grant
    Filed: March 18, 2013
    Date of Patent: April 28, 2015
    Assignee: SK Hynix Inc.
    Inventors: Seung-Mi Lee, Yun-Hyuck Ji
  • Patent number: 9018054
    Abstract: The present invention relates to combinations of materials and fabrication techniques which are useful in the fabrication of filled, metal-comprising gates for use in planar and 3D Field Effect Transistor (FET) structures. The FET structures described are of the kind needed for improved performance in semiconductor device structures produced at manufacturing nodes which implement semiconductor feature sizes in the 15 nm range or lower.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: April 28, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Naomi Yoshida, Adam Brand
  • Patent number: 9018086
    Abstract: The present invention provides a method of forming a semiconductor device having a metal gate. A substrate is provided and a gate dielectric and a work function metal layer are formed thereon, wherein the work function metal layer is on the gate dielectric layer. Then, a top barrier layer is formed on the work function metal layer. The step of forming the top barrier layer includes increasing a concentration of a boundary protection material in the top barrier layer. Lastly, a metal layer is formed on the top barrier layer. The present invention further provides a semiconductor device having a metal gate.
    Type: Grant
    Filed: December 13, 2013
    Date of Patent: April 28, 2015
    Assignee: United Microelectronics Corp.
    Inventors: Chi-Mao Hsu, Hsin-Fu Huang, Chin-Fu Lin, Min-Chuan Tsai, Wei-Yu Chen, Chien-Hao Chen
  • Patent number: 9006762
    Abstract: An organic light-emitting device including a substrate, an anode layer on the substrate, the anode layer including WOxNy (2.2?x?2.6 and 0.22?y?0.26), an emission structure layer on the anode layer, and a cathode layer on the emission structure layer.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: April 14, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventors: Chang-Ho Lee, Hee-Joo Ko, Il-Soo Oh, Hyung-Jun Song, Se-Jin Cho, Jin-Young Yun, Bo-Ra Lee, Young-Woo Song, Jong-Hyuk Lee, Sung-Chul Kim
  • Patent number: 8999832
    Abstract: An organic electroluminescent (EL) element comprises: an anode; a cathode; a functional layer disposed between the anode and the cathode, and including a light-emitting layer containing an organic material; a hole injection layer disposed between the anode and the functional layer; and a bank that defines an area in which the light-emitting layer is to be formed, wherein the hole injection layer includes tungsten oxide and includes an occupied energy level that is approximately 1.8 electron volts to approximately 3.6 electron volts lower than a lowest energy level of a valence band of the hole injection layer in terms of a binding energy, the hole injection layer has a recess in an upper surface of the area defined by the bank, and an upper peripheral edge of the recess is covered with a part of the bank.
    Type: Grant
    Filed: January 11, 2013
    Date of Patent: April 7, 2015
    Assignee: Panasonic Corporation
    Inventors: Seiji Nishiyama, Satoru Ohuchi, Takahiro Komatsu, Kei Sakanoue, Yoshiaki Tsukamoto, Shinya Fujimura
  • Patent number: 8999831
    Abstract: A method of fabricating a replacement gate stack for a semiconductor device includes the following steps after removal of a dummy gate: growing a high-k dielectric layer over the area vacated by the dummy gate; depositing a thin metal layer over the high-k dielectric layer; depositing a sacrificial layer over the thin metal layer; annealing the structure at a high temperature of not less than 800° C.; removing the sacrificial layer; and depositing a metal layer of low resistivity metal for gap fill. Optionally, a second annealing step can be performed after the first anneal. This second anneal is performed as a millisecond anneal using a flash lamp or a laser.
    Type: Grant
    Filed: November 19, 2012
    Date of Patent: April 7, 2015
    Assignee: International Business Machines Corporation
    Inventors: Takashi Ando, Eduard A. Cartier, Kisik Choi, Vijay Narayanan
  • Patent number: 8999799
    Abstract: Embodiments of present invention provide a method of forming silicide contacts of transistors. The method includes forming a first set of epitaxial source/drain regions of a first set of transistors; forming a sacrificial epitaxial layer on top of the first set of epitaxial source/drain regions; forming a second set of epitaxial source/drain regions of a second set of transistors; converting a top portion of the second set of epitaxial source/drain regions into a metal silicide and the sacrificial epitaxial layer into a sacrificial silicide layer in a silicidation process wherein the first set of epitaxial source/drain regions underneath the sacrificial epitaxial layer is not affected by the silicidation process; removing selectively the sacrificial silicide layer; and converting a top portion of the first set of epitaxial source/drain regions into another metal silicide.
    Type: Grant
    Filed: August 29, 2013
    Date of Patent: April 7, 2015
    Assignee: International Business Machines Corporation
    Inventors: Praneet Adusumilli, Emre Alptekin, Kangguo Cheng, Shom Ponoth, Balasubramanian Pranatharthiharan
  • Publication number: 20150091105
    Abstract: Erbium silicide layers can be used in CMOS transistors in which the work function of the erbium silicide layers can be tuned for use in PMOS and NMOS devices. A nano-laminate sputtering approach can be used in which silicon and erbium layers are alternatingly deposited to determine optimum layer properties, composition profiles, and erbium to silicon ratios for a particular gate stack.
    Type: Application
    Filed: November 26, 2013
    Publication date: April 2, 2015
    Applicant: Intermolecular Inc.
    Inventors: Zhendong Hong, Ashish Bodke, Olov Karlsson
  • Patent number: 8987127
    Abstract: The present invention discloses a method for manufacturing a semiconductor device, comprising: forming a gate stacked structure on a silicic substrate; depositing a Nickel-based metal layer on the substrate and the gate stacked structure; performing a first annealing so that the silicon in the substrate reacts with the Nickel-based metal layer to form a Ni-rich phase of metal silicide; performing an ion implantation by implanting doping ions into the Ni-rich phase of metal silicide; performing a second annealing so that the Ni-rich phase of metal to silicide is transformed into a Nickel-based metal silicide source/drain, and meanwhile, forming a segregation region of the doping ions at an interface between the Nickel-based metal silicide source/drain and the substrate.
    Type: Grant
    Filed: March 23, 2012
    Date of Patent: March 24, 2015
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Jun Luo, Chao Zhao, Huicai Zhong, Junfeng Li, Dapeng Chen
  • Patent number: 8987126
    Abstract: Integrated circuits and methods of fabricating integrated circuits are provided herein. In an embodiment, a method of fabricating an integrated circuit includes depositing a layer of a high-k dielectric material; depositing a layer of a work function shifter material over a portion of the high-k dielectric material to form an overlapping region; heat treating the layer of the high-k dielectric material and the layer of the work function shifter material to as to form a transformed dielectric material via thermal diffusion that is a combination of the high-k dielectric and work function shifter materials in the overlapping region; and depositing a layer of a first replacement gate fill material to obtain multiple threshold voltages.
    Type: Grant
    Filed: May 9, 2012
    Date of Patent: March 24, 2015
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Kisik Choi, Hoon Kim
  • Publication number: 20150061042
    Abstract: A metal gate structure is provided. The metal gate structure includes a semiconductor substrate, a gate dielectric layer, a multi-layered P-type work function layer and a conductive metal layer. The gate dielectric layer is disposed on the semiconductor substrate. The multi-layered P-type work function layer is disposed on the gate dielectric layer, and the multi-layered P-type work function layer includes at least a crystalline P-type work function layer and at least an amorphous P-type work function layer. Furthermore, the conductive metal layer is disposed on the multi-layered P-type work function layer.
    Type: Application
    Filed: September 3, 2013
    Publication date: March 5, 2015
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Tsun-Min Cheng, Nien-Ting Ho, Chien-Hao Chen, Ching-Yun Chang, Hsin-Fu Huang, Min-Chuan Tsai, Chi-Yuan Sun, Chi-Mao Hsu
  • Patent number: 8969930
    Abstract: A gate stack structure comprises an isolation dielectric layer formed on and embedded into a gate. A sidewall spacer covers opposite side faces of the isolation dielectric layer, and the isolation dielectric layer located on an active region is thicker than the isolation dielectric layer located on a connection region. A method for manufacturing the gate stack structure comprises removing part of the gate in thickness, the thickness of the removed part of the gate on the active region is greater than the thickness of the removed part of the gate on the connection region so as to expose opposite inner walls of the sidewall spacer; forming an isolation dielectric layer on the gate to cover the exposed inner walls. There is also provided a semiconductor device and a method for manufacturing the same. The methods can reduce the possibility of short-circuit occurring between the gate and the second contact hole and can be compatible with the dual-contact-hole process.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: March 3, 2015
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Haizhoou Yin, Zhijiong Luo, Huilong Zhu
  • Patent number: 8969188
    Abstract: Methods of manufacturing a semiconductor device including a multi-layer of dielectric layers may include forming a metal oxide layer on a semiconductor substrate and forming a multi-layer of silicate layers including metal atoms and silicon atoms, on the metal oxide layer. The multi-layer of silicate layers may include at least two metallic silicate layers having different silicon concentrations, which are a ratio of silicon atoms among all metal atoms and silicon atoms included in the metallic silicate layer.
    Type: Grant
    Filed: December 15, 2011
    Date of Patent: March 3, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-chul Kim, Jong-cheol Lee, Heung-ahn Kwon, Hyun-wook Lee
  • Patent number: 8962412
    Abstract: A structure has at least one field effect transistor having a gate stack disposed between raised source drain structures that are adjacent to the gate stack. The gate stack and raised source drain structures are disposed on a surface of a semiconductor material. The structure further includes a layer of field dielectric overlying the gate stack and raised source drain structures and first contact metal and second contact metal extending through the layer of field dielectric. The first contact metal terminates in a first trench formed through a top surface of a first raised source drain structure, and the second contact metal terminates in a second trench formed through a top surface of a second raised source drain structure. Each trench has silicide formed on sidewalls and a bottom surface of at least a portion of the trench. Methods to fabricate the structure are also disclosed.
    Type: Grant
    Filed: August 11, 2014
    Date of Patent: February 24, 2015
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Bruce B. Doris, Ali Khakifirooz, Pranita Kerber, Christian Lavoie
  • Patent number: 8962431
    Abstract: A method of forming metal silicide-comprising material includes forming a substrate which includes a first stack having second metal over first metal over silicon and a second stack having second metal over silicon. The first and second metals are of different compositions. The substrate is subjected to conditions which react the second metal with the silicon in the second stack to form metal silicide-comprising material from the second stack. The first metal between the second metal and the silicon in the first stack precludes formation of a silicide comprising the second metal and silicon from the first stack. After forming the metal silicide-comprising material, the first metal, the second metal and the metal silicide-comprising material are subjected to an etching chemistry that etches at least some remaining of the first and second metals from the substrate selectively relative to the metal silicide-comprising material.
    Type: Grant
    Filed: January 16, 2014
    Date of Patent: February 24, 2015
    Assignee: Micron Technology, Inc.
    Inventors: David H. Wells, John Mark Meldrim, Rita J. Klein
  • Publication number: 20150044861
    Abstract: A method for performing silicidation of gate electrodes includes providing a semiconductor device having first and second transistors with first and second gate electrodes formed on a semiconductor substrate, forming an oxide layer on the first and second gate electrodes and the semiconductor substrate, forming a cover layer on the oxide layer, and back etching the cover layer to expose portions of the oxide layer above the first and second gate electrodes while maintaining a portion of the cover layer between the first and second gate electrodes. Furthermore, the exposed portions of the oxide layer are removed from the first and second gate electrodes to expose upper portions of the first and second gate electrodes, while maintaining a portion of the oxide layer between the first and second gate electrodes, and a silicidation of the exposed upper portions of the first and second gate electrodes is performed.
    Type: Application
    Filed: October 27, 2014
    Publication date: February 12, 2015
    Inventors: Joachim Patzer, Ardechir Pakfar, Clemens Fitz, Dominic Thurmer
  • Publication number: 20150041905
    Abstract: Disclosed herein are illustrative methods and devices that involve forming spacers with internally trimmed internal surfaces to increase the width of the upper portions of a gate cavity. In some embodiments, the internal surface of the spacer has a stepped cross-sectional configuration or a tapered cross-sectional configuration. In one example, a device is disclosed wherein the P-type work function metal for a PMOS device is positioned only within the lateral space defined by the untrimmed internal surfaces of the spacers, while the work function adjusting metal for the NMOS device is positioned laterally between the lateral spaces defined by both the trimmed and untrimmed internal surfaces of the sidewall spacers.
    Type: Application
    Filed: August 6, 2013
    Publication date: February 12, 2015
    Applicants: International Business Machines Corporation, GLOBALFOUNDRIES Inc.
    Inventors: Ruilong Xie, Kisik Choi, Su Chen Fan, Shom Ponoth
  • Patent number: 8951901
    Abstract: In sophisticated semiconductor devices, the encapsulation of sensitive gate materials, such as a high-k dielectric material and a metal-containing electrode material, which are provided in an early manufacturing stage may be achieved by forming an undercut gate configuration. To this end, a wet chemical etch sequence is applied after the basic patterning of the gate layer stack, wherein at least ozone-based and hydrofluoric acid-based process steps are performed in an alternating manner, thereby achieving a substantially self-limiting removal behavior.
    Type: Grant
    Filed: July 22, 2011
    Date of Patent: February 10, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Sven Beyer, Berthold Reimer, Falk Graetsch
  • Patent number: 8951863
    Abstract: A method of forming an NVM cell and a logic transistor uses a semiconductor substrate. In an NVM region, a polysilicon select gate of the NVM cell is formed over a first thermally-grown oxygen-containing layer, and in a logic region, a work-function-setting material is formed over a high-k dielectric and a polysilicon dummy gate is formed over the work-function-setting material. Source/drains, a sidewall spacer, and silicided regions of the logic transistor are formed after the first thermally-grown oxygen-containing layer is formed. The polysilicon dummy gate is replaced by a metal gate. The logic transistor is protected while the NVM cell is then formed including forming a charge storage region.
    Type: Grant
    Filed: February 28, 2013
    Date of Patent: February 10, 2015
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Mark D. Hall, Frank K. Baker, Jr., Mehul D. Shroff
  • Publication number: 20150037969
    Abstract: A method for manufacturing a semiconductor device including: preparing a semiconductor substrate with a gate oxide layer on the top thereof; depositing a polycrystalline silicon layer on the top of the semiconductor substrate; depositing a protection layer overlying the top of the polycrystalline silicon layer; etching the protection layer and the polycrystalline silicon layer to form a gate body block; forming an oxide layer overlying the gate body block and the semiconductor substrate; polishing the oxide layer through Chemical Mechanical Polishing (CMP) until the top of the gate body block; removing the protection layer on the top of the gate body block; and forming a metal silicide layer on the gate body block.
    Type: Application
    Filed: December 4, 2013
    Publication date: February 5, 2015
    Applicants: Founder Microelectronics International Co., Ltd., Peking University Founder Group Co., Ltd.
    Inventor: Zhengfeng WEN
  • Patent number: 8946071
    Abstract: The present invention discloses a method for manufacturing a semiconductor device, comprising: forming a gate stacked structure on a substrate; forming a source/drain region and a gate sidewall spacer at both sides of the gate stacked structure; depositing a Nickel-based metal layer at least in the source/drain region; performing a first annealing so that the silicon in the source/drain region reacts with the Nickel-based metal layer to form a Ni-rich phase of metal silicide; performing an ion implantation by implanting doping ions into the Ni-rich phase of metal silicide; performing a second annealing so that the Ni-rich phase metal silicide is transformed into a Nickel-based metal silicide, and meanwhile, forming a segregation region of the doping ions at an interface between the Nickel-based metal silicide and the source/drain region.
    Type: Grant
    Filed: March 23, 2012
    Date of Patent: February 3, 2015
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Jun Luo, Chao Zhao, Huicai Zhong, Junfeng Li, Dapeng Chen
  • Patent number: 8932917
    Abstract: A manufacturing method of a thin film transistor (TFT) includes forming a gate electrode including a metal that can be combined with silicon to form silicide on a substrate and forming a gate insulation layer by supplying a gas which includes silicon to the gate electrode at a temperature below about 280° C. The method further includes forming a semiconductor on the gate insulation layer, forming a data line and a drain electrode on the semiconductor and forming a pixel electrode connected to the drain electrode.
    Type: Grant
    Filed: July 12, 2013
    Date of Patent: January 13, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventors: Byoung-June Kim, Jae-Ho Choi, Chang-Oh Jeong, Sung-Hoon Yang, Je-Hun Lee, Do-Hyun Kim, Hwa-Yeul Oh, Yong-Mo Choi