Forming Contacts Of Differing Depths Into Semiconductor Substrate Patents (Class 438/620)
  • Patent number: 7081398
    Abstract: A method of forming a local interconnect includes forming an isolation trench within a semiconductor substrate. A first trench isolation material is deposited to within the trench. First isolation material is removed effective to form a line trench into a desired local interconnect. Conductive material is formed therewithin. A second isolation material is deposited over the first isolation material, over the conductive material within the isolation trench and within the line trench. At least some first and second isolation material is removed in at least one common removing step. Integrated circuitry includes a substrate comprising trench isolation material. A local interconnect line is received within a trench formed within the isolation material. The local interconnect includes at least two different conductive materials. One of the conductive materials lines the trench. Another of the conductive materials is received within a conductive trench formed by the one. Other implementations are disclosed.
    Type: Grant
    Filed: October 12, 2001
    Date of Patent: July 25, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Jigish G. Trivedi
  • Patent number: 7060603
    Abstract: A formation method of metal wiring of a semiconductor device is disclosed. According to one example, an example method may include forming a metal wire on a pre metal dielectric (“PMD”) on a semiconductor substrate; patterning and sintering the metal wire; forming an insulating layer on the metal wire and the PMD; and forming a via hole in the insulating layer. The example method may further include forming a barrier metal layer made of multiple metal layers on inner wall of the via hole and upper surface of the insulating layer using physical vapor deposition and chemical vapor deposition; filling up inside the via hole by forming a metallic material on the metal layer; and forming a metallic material via by chemical mechanical polishing of the metallic material and the barrier metal layer until the insulating layer is exposed.
    Type: Grant
    Filed: December 19, 2003
    Date of Patent: June 13, 2006
    Assignee: DongbuAnam Semiconductor Inc.
    Inventors: Jae-Won Han, Dong-Ki Jeon
  • Patent number: 7052992
    Abstract: Disclosed herein is a method of making integrated circuits. In one embodiment the method includes forming tungsten plugs in the integrated circuit and forming electrically conductive interconnect lines in the integrated circuit after formation of the tungsten plugs. At least one tungsten plug is electrically connected to at least one electrically conductive interconnect line. Thereafter at least one electrically conductive interconnect line is exposed to ionized air.
    Type: Grant
    Filed: October 28, 2003
    Date of Patent: May 30, 2006
    Assignee: NEC Electronics America, Inc.
    Inventors: John W. Jacobs, Elizabeth A. Dauch
  • Patent number: 7005302
    Abstract: A semiconductor on insulator (SOI) device is comprised of a layer of a dielectric material having a perovskite lattice, such as a rare earth scandate. The dielectric material is selected to have an effective lattice constant that enables growth of semiconductor material having a diamond lattice directly on the dielectric. Examples of the rare earth scandate dielectric include gadolinium scandate (GdScO3), dysprosium scandate (DyScO3), and alloys of gadolinium and dysprosium scandate (Gd1-xDyxScO3).
    Type: Grant
    Filed: April 7, 2004
    Date of Patent: February 28, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Qi Xiang
  • Patent number: 6984578
    Abstract: The invention relates to a method for the production of an integrated circuit, comprising the following steps: a substrate (1) is provided with at least one first, second and third gate stack (GS1, GS2, GS3) of approximately the same height surface of said substrate, a common active area (60) being provided on the surface of the substrate in said substrate (1) between the first and second gate stack (GS1, GS2); a first insulating layer (70) is provided in order to cover the embedding of the first second and third gate stack (GS1, GS2, GS3); the upper side of a gate connection (20) of the third gate stack (GS3) is uncovered; a second insulating layer (80) is provided in order to cover the upper side of a gate connection (20); a mask (M2) is provided on the resulting structure having a first opening (12a) above the uncovered upper side of the gate connection (20) of the third gate stack (GS3), a second opening (F2b) above the substrate (1) between the third and second gate stack (GS3, GS2) and a third opening (
    Type: Grant
    Filed: April 11, 2002
    Date of Patent: January 10, 2006
    Assignee: Infineon Technologies AG
    Inventors: Wolfgang Gustin, Kae-Horng Wang, Matthias Kroenke
  • Patent number: 6979644
    Abstract: A method of manufacturing an electronic circuit component, including the steps of: (a) forming a first thin film circuit element on a surface of a circuit board made of an Si substrate; (b) forming a hole or trench from the surface of the circuit board through at least a portion of a thickness of the Si substrate by etching; (c) forming an insulating film covering a surface of the formed hole or trench; (d) adhering a dry film of photoresist to the surface of the circuit board, the dry film capping an opening of the hole or trench; (e) patterning the dry film; and (f) by using the patterned dry film as a mask, etching the insulating film. An electronic circuit component having through conductors and being less influenced by high temperature annealing can be manufactured.
    Type: Grant
    Filed: April 23, 2003
    Date of Patent: December 27, 2005
    Assignee: Fujitsu Limited
    Inventors: Koji Omote, Masataka Mizukoshi, Osamu Taniguchi
  • Patent number: 6972453
    Abstract: In a method of manufacturing a semiconductor device, with respect to a stacked film including a silicon included organic film and a silicon non-included organic film, the silicon non-included organic film is etched by using the etching gas of mixed N2 gas and H2 gas.
    Type: Grant
    Filed: February 22, 2002
    Date of Patent: December 6, 2005
    Assignee: NEC Corporation
    Inventors: Hiroto Ohtake, Shinobu Saitoh, Munehiro Tada, Yoshihiro Hayashi
  • Patent number: 6963494
    Abstract: Tails (20) projecting from an electrical component (12) that lies on a circuit board surface, are terminated to traces on a multi-layer circuit board (14) in a manner that minimizes the disadvantages of long through hole soldering and of surface mount techniques. A blind hole is drilled and plated in a first layer (31) that will become the topmost layer of the stack, to form a shallow well (70). The well is filled with a soldering composition (130). A tail (20) is projected downward into the soldering composition, and the soldering composition is heated to solder the tail to the hole plating.
    Type: Grant
    Filed: June 13, 2003
    Date of Patent: November 8, 2005
    Assignee: ITT Manufacturing Enterprises, Inc.
    Inventors: Scott Keith Mickievicz, John Edward Knaub
  • Patent number: 6949839
    Abstract: A method of aligning a plurality of empty-spaced buried patterns formed in semiconductor monocrystalline substrates is disclosed. In an exemplary embodiment, high-temperature metal marks are formed to include a conductive material having a melting temperature higher than an annealing temperature used to form such empty-spaced buried patterns. The high-temperature metal marks are formed prior to the formation of the empty-spaced buried patterns formed in a monocrystalline substrate, so that the empty-space buried patterns are aligned to the marks. Subsequent semiconductor structures that are formed as part of desired semiconductor devices can be also aligned to the marks.
    Type: Grant
    Filed: October 22, 2002
    Date of Patent: September 27, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Paul A. Farrar, Joseph E. Geusic
  • Patent number: 6949458
    Abstract: A method and structure for forming a sidewall image transfer conductor having a contact pad includes forming an insulator to include a recess, depositing a conductor around the insulator, and etching the conductor to form the sidewall image transfer conductor, wherein the conductor remains in the recess and forms the contact pad and the recess is perpendicular to the sidewall image transfer conductor.
    Type: Grant
    Filed: February 10, 2003
    Date of Patent: September 27, 2005
    Assignee: International Business Machines Corporation
    Inventors: Edward W. Conrad, Chung H. Lam, Dale W. Martin, Edmund Sprogis
  • Patent number: 6949444
    Abstract: A method for forming at least one conductive line intended to receive high-frequency or high-value currents, formed above a given portion of a solid substrate outside of which are formed other elements, including the steps of digging at least one trench in the solid substrate; forming an insulating area in the trench; and forming said conductive line above the insulating area.
    Type: Grant
    Filed: April 5, 2002
    Date of Patent: September 27, 2005
    Assignee: STMicroelectronics S.A.
    Inventors: Joaquim Torres, Vincent Arnal, Alexis Farcy
  • Patent number: 6946375
    Abstract: A sacrificial layer is formed in a recess of a substrate, and leads extending from the substrate into an area of the sacrificial layer are formed. A cut is formed from the bottom surface of the substrate, the cut extending from the bottom surface to the area of the sacrificial layer via the substrate, then the sacrificial layer is removed. A probe unit can be obtained having the leads whose front portions extend beyond the edge of the substrate. A through conductor may be formed in a through hole formed in a substrate. Leads may be formed on a photosensitive etching glass substrate to thereafter selectively etch the chemically cutting type glass.
    Type: Grant
    Filed: March 19, 2002
    Date of Patent: September 20, 2005
    Assignee: Yamaha Corporation
    Inventors: Atsuo Hattori, Toshitaka Yoshino, Tetsutsugu Hamano, Masahiro Sugiura
  • Patent number: 6943056
    Abstract: A method of manufacturing semiconductor devices includes the following steps. That is, a support board is adhered to a rear surface of a substrate proper which has a plurality of circuit element parts with prescribed functions formed on a circuit forming plane on an obverse surface thereof. First groove portions are formed in the substrate proper. An insulating film (17) is formed on a surface of a semiconductor substrate (50) by using an insulating material, and holes are formed in the first groove portions. Metal wiring patterns (8) are formed which extend from electrode portions to at least parts of inner walls of the holes. A prescribed amount of the support board at a bottom of each of the holes is removed. A conductive material is filled into the holes thereby to form penetration electrodes (10). A second groove portions are formed in the first groove portions.
    Type: Grant
    Filed: April 3, 2003
    Date of Patent: September 13, 2005
    Assignee: Renesas Technology Corp.
    Inventor: Yoshihiko Nemoto
  • Patent number: 6897145
    Abstract: A method for fabricating a semiconductor device, in which a sufficient misalignment margin is obtained when forming interconnections and contact holes, is provided. Dielectric layer patterns which define recesses in which damascene interconnections are to be formed, are formed. Then, first contact holes between the dielectric layer patterns are etched, and the first contact holes and the recesses are concurrently filled with a conductive material. The recesses can be filled with the conductive material by performing an etch-back process. The dielectric layer patterns are then etched, thereby forming the damascene interconnections and concurrently covering only a region in which second contact holes are to be formed with the dielectric layer patterns. Spaces between the dielectric layer patterns are filled with a mask layer, and then the dielectric layer patterns are selectively removed from the resultant structure, thereby forming the second contact holes aligned with the damascene interconnections.
    Type: Grant
    Filed: July 24, 2003
    Date of Patent: May 24, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Je-Min Park
  • Patent number: 6887779
    Abstract: A semiconductor chip carrier having an increased chip connector and plated through hole density. In particular, a substrate having a plurality of plated through holes therein, and a fatigue resistant redistribution layer thereon. The redistribution layer includes a plurality of vias selectively positioned over and contacting the plated through holes. The substrate further including a ground plane, two pair of signal planes, and two pair of power planes, wherein the second pair of power planes are located directly underneath the external dielectric layer. A buried plated through hole within the substrate.
    Type: Grant
    Filed: November 21, 2003
    Date of Patent: May 3, 2005
    Assignee: International Business Machines Corporation
    Inventors: David J. Alcoe, Francis J. Downes, Jr., Gerald W. Jones, John S. Kresge, Cheryl L. Tytran-Palomaki
  • Patent number: 6869856
    Abstract: A process for manufacturing a semiconductor wafer integrating electronic devices and a structure for electromagnetic decoupling are disclosed. The method includes providing a wafer of semiconductor material having a substrate; forming a plurality of first mutually adjacent trenches, open on a first face of the wafer, which have a depth and a width and define walls); by thermal oxidation, completely oxidizing the walls and filling at least partially the first trenches, so as to form an insulating structure of dielectric material; and removing one portion of the substrate comprised between the insulating structure and a second face of the wafer, opposite to the first face of the wafer.
    Type: Grant
    Filed: October 29, 2002
    Date of Patent: March 22, 2005
    Assignee: STMicroelectronics S.r.l.
    Inventors: Chantal Combi, Matteo Fiorito, Marta Mottura, Giuseppe Visalli, Benedetto Vigna
  • Patent number: 6869872
    Abstract: The present invention discloses a semiconductor memory device having a bit line and a metal contact stud, wherein the metal contact stud is formed on a different layer from a layer on which the bit lines are formed.
    Type: Grant
    Filed: August 6, 2003
    Date of Patent: March 22, 2005
    Assignee: Samsung Electronics., Co., Ltd.
    Inventor: Chunsuk Suh
  • Patent number: 6867073
    Abstract: A method of connecting elements such as semiconductor devices and a device having connected elements such as semiconductor devices. A first element having a first contact structure is bonded to a second element having a second contact structure. A single mask is used to form a via in the first element to expose the first contact and the second contact. The first contact structure is used as a mask to expose the second contact structure. A contact member is formed in contact with the first and second contact structures. The first contact structure may have an aperture or gap through which the first and second contact structures are connected. A back surface of the first contact structure may be exposed by the etching.
    Type: Grant
    Filed: October 21, 2003
    Date of Patent: March 15, 2005
    Assignee: Ziptronix, Inc.
    Inventor: Paul M. Enquist
  • Patent number: 6849923
    Abstract: Disclosed is a semiconductor device, comprising a first wiring structure formed on a semiconductor substrate and including a first plug and a first wiring formed on the first plug, and a second wiring structure formed on the semiconductor substrate belonging to the wiring layer equal to the first wiring structure and including a second plug and a second wiring formed on the second plug, wherein the upper surface of the first wiring is positioned higher than the upper surface of the second wiring, and the lower surface of the first wiring is positioned flush with or lower than the upper surface of the second wiring. The present invention also provides a method of manufacturing the particular semiconductor device.
    Type: Grant
    Filed: March 4, 2002
    Date of Patent: February 1, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Shoji Seta, Makoto Sekine, Naofumi Nakamura
  • Patent number: 6841408
    Abstract: A method of aligning a plurality of empty-spaced buried patterns formed in semiconductor monocrystalline substrates is disclosed. In an exemplary embodiment, high-temperature metal marks are formed to include a conductive material having a melting temperature higher than an annealing temperature used to form such empty-spaced buried patterns. The high-temperature metal marks are formed prior to the formation of the empty-spaced buried patterns formed in a monocrystalline substrate, so that the empty-space buried patterns are aligned to the marks. Subsequent semiconductor structures that are formed as part of desired semiconductor devices can be also aligned to the marks.
    Type: Grant
    Filed: February 10, 2003
    Date of Patent: January 11, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Paul A. Farrar, Joseph E. Geusic
  • Patent number: 6838370
    Abstract: The present invention is directed to suppressing the rise of a dielectric constant of insulating film during a procedure of burying wiring in semiconductor devices by using a damascene process, and it is also directed to simplifying a process of manufacturing the semiconductor devices. In terms of a process step of forming protection film on a metal layer during the damascene process, there is employed a combined arrangement of a wash unit where particles are removed from polished substrates with a processing unit where a solution containing an organic substance such as benzotriazole, which tends to be bound to the metal layers, is applied to the metal layers over the substrates after the particles are removed therefrom. For the combined arrangement of the processing unit and the wash unit, either a batch processing unit or a mono/serial processing unit can be employed.
    Type: Grant
    Filed: September 8, 2000
    Date of Patent: January 4, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Takayuki Niuya, Michihiro Ono, Hideto Goto
  • Patent number: 6838772
    Abstract: A semiconductor device has a first insulating film deposited over a semiconductor substrate, an interconnect opening portion formed in the first insulating film, an interconnect disposed in the interconnect opening portion, and a second insulating film formed over the first insulating film and the interconnect. The interconnect has a first conductor film, a second conductor film formed via the first conductor film and comprised of one of titanium silicon nitride, tantalum silicon nitride, tantalum nitride and titanium nitride, a third conductor film formed via the first and second conductor films and comprised of a material having good adhesion with copper; and a fourth conductor film formed via the first, second and third conductor conductor film having a copper as a main component. Thus, it is possible to improve adhesion between a conductor film composed mainly of copper and another conductor film having a copper-diffusion barrier function in the interconnect.
    Type: Grant
    Filed: May 15, 2003
    Date of Patent: January 4, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Toshio Saitoh, Kensuke Ishikawa, Hiroshi Ashihara, Tatsuyuki Saito
  • Patent number: 6831001
    Abstract: A method is provided for forming stacked local interconnects that do not extend into higher levels within a multilevel IC device for economizing space available within the IC device and increasing design flexibility. In one embodiment, the method of the present invention provides a stacked local interconnect which electrically connects a first group of interconnected electrical features with one or more additional isolated groups of interconnected electrical features or one or more isolated individual electrical features. In a second embodiment, the method of the present invention provides a stacked local interconnect which electrically connects an individual electrical feature to one or more additional isolated electrical features.
    Type: Grant
    Filed: April 4, 2003
    Date of Patent: December 14, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Jigish D. Trivedi
  • Patent number: 6831002
    Abstract: A manufacturing method of a semiconductor device for providing wires on a front surface of a semiconductor wafer by providing a plating layer, in which conductive layers provided on the front and back surfaces of the semiconductor wafer are electrically conducted by solder filled in its through-holes, and electrolytic plating is carried out by electrically connecting cathode terminals of an electrolytic plating apparatus and the conductive layer provided on the back surface of the semiconductor wafer which is provided with a mask on the conductive layer provided on its front surface.
    Type: Grant
    Filed: September 13, 2002
    Date of Patent: December 14, 2004
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Yoshihide Iwazaki, Shinji Suminoe, Hiroyuki Nakanishi, Toshiya Ishio, Takamasa Tanaka, Katsunobu Mori
  • Patent number: 6821879
    Abstract: The invention is directed to a fabrication method of copper interconnects using dual damascene processing. Using silicon to provide an active surface, palladium can be selectively deposited on silicon by an immersion plating technique. After palladium deposition (about 1000 Å thick), either a layer of cobalt phosphorus or alloy cobalt/nickel phosphorus or nickel phosphorus is deposited on the palladium layer using an electroless plating technique. This cobalt phosphorus, cobalt/nickel phosphorus alloy, or nickel phosphorus layer serves as a copper diffusion barrier. The via and trenches are filled with copper by an electroless copper plating method and CMP is used to remove the excess copper and planarize-/-polish the copper/dielectric surface.
    Type: Grant
    Filed: October 30, 2002
    Date of Patent: November 23, 2004
    Assignee: Xerox Corporation
    Inventor: Kaiser H. Wong
  • Patent number: 6815820
    Abstract: A conductive line varies in thickness to assist in overcoming RC delays and noise coupling. By varying line thickness, variation in conductor width is avoided if necessary to maintain a specified minimum pitch between conductors while maintaining predetermined desired RC parameters and noise characteristics of the conductive line. Conductor depth variation is achieved by etching a dielectric layer to different thicknesses. A subsequent conductive fill over the dielectric layer and in the differing thicknesses results in a conductive line that varies in thickness. Different conductive line thicknesses available at a particular metal level can additionally be used for semiconductor structures other than a signal or a power supply conductive line, such as a contact, a via or an electrode of a device. The thickness analysis required to determine how interconnect thickness is varied in order to meet a desired design criteria may be automated and provided as a CAD tool.
    Type: Grant
    Filed: May 9, 2002
    Date of Patent: November 9, 2004
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Kathleen C. Yu, Kirk J. Strozewski, Janos Farkas, Hector Sanchez, Yeong-Jyh T. Lii
  • Patent number: 6815826
    Abstract: A method of aligning a plurality of empty-spaced buried patterns formed in semiconductor monocrystalline substrates is disclosed. In an exemplary embodiment, high-temperature metal marks are formed to include a conductive material having a melting temperature higher than an annealing temperature used to form such empty-spaced buried patterns. The high-temperature metal marks are formed prior to the formation of the empty-spaced buried patterns formed in a monocrystalline substrate, so that the empty-space buried patterns are aligned to the marks. Subsequent semiconductor structures that are formed as part of desired semiconductor devices can be also aligned to the marks.
    Type: Grant
    Filed: April 22, 2003
    Date of Patent: November 9, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Paul A. Farrar, Joseph E. Geusic
  • Patent number: 6800551
    Abstract: To provide a chemical amplification type positive photoresist composition suited to resist patterning of a substrate presenting surface step differences, a method for manufacturing the semiconductor device employing this composition, and a semiconductor substrate. In a method for manufacturing a semiconductor device, a resist film is formed using a chemical amplification type positive photoresist composition, comprised of a base resin and a basic compound added to the base resin at a rate of 1 to 100 mmol to 100 g of the base resin, on a substrate halving surface step differences and into which the organic removing solution is deposited or oozed, and a predetermined area of the resist film is exposed to light to form a resist pattern.
    Type: Grant
    Filed: December 3, 2002
    Date of Patent: October 5, 2004
    Assignees: NEC Electronics Corporation, Shin-Etsu Chemical Co., Ltd.
    Inventors: Seiji Nagahara, Toyohisa Sakurada, Takao Yoshihara
  • Patent number: 6801313
    Abstract: The present invention relates to an overlay mark used for the measurement of the overlay accuracy between layered patterns and alignment at the time of exposure; which has a grooved pattern surrounding a mark pattern that is formed by engraving a groove or an indent in a prescribed position on a layer where a circuit pattern is formed so as to protect this mark pattern from being deformed by thermal expansion or contraction of this layer. The present invention enables to form a multi-layered circuit pattern with a high accuracy and a high yield in production, even in the formation of a minute and densely-spaced circuit pattern.
    Type: Grant
    Filed: July 27, 2000
    Date of Patent: October 5, 2004
    Assignee: NEC Electronics Corporation
    Inventor: Kazuki Yokota
  • Patent number: 6794286
    Abstract: A semiconductor device comprises a semiconductor substrate in which a semiconductor element is formed, an interlayer insulating film formed on the semiconductor substrate, an insulating barrier layer, formed on the interlayer insulating film by plasma nitriding, for preventing diffusion of a metal constituting a wiring layer, a conductive barrier layer, formed on the insulating barrier layer, for preventing diffusion of the metal, and a wiring layer formed of the metal on the conductive barrier layer. A bottom portion of the wiring layer is protected by the conductive barrier layer and the insulating barrier layer. Therefore, the diffusion of the metal constituting the wiring layer can be surely prevented.
    Type: Grant
    Filed: April 26, 2000
    Date of Patent: September 21, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hisako Aoyama, Kyoichi Suguro, Hiromi Niiyama, Hitoshi Tamura, Hisataka Hayashi, Tomonori Aoyama, Gaku Minamihaba, Tadashi Iijima
  • Publication number: 20040175920
    Abstract: In a semiconductor device using a polysilicon contact, such as a poly plug between a transistor and a capacitor in a container cell, an interface is provided where the poly plug would otherwise contact the bottom plate of the capacitor. The interface bars silicon from the plug from diffusing into the capacitor's dielectric. The interface can also include an oxygen barrier to prevent the poly plug from oxidizing during processing. Below the interface is a silicide layer to help enhance electrical contact with the poly plug. In a preferred method, the interface is created by selectively depositing a layer of titanium over a recessed poly plug to the exclusion of the surrounding oxide. The deposition process allows for silicidation of the titanium. The top half of the titanium silicide is then nitridized. A conformal ruthenium or ruthenium oxide layer is subsequently deposited, covering the titanium nitride and lining the sides and bottom of the container cell.
    Type: Application
    Filed: February 26, 2004
    Publication date: September 9, 2004
    Inventors: Garo J. Derderian, Gurtej S. Sandhu
  • Publication number: 20040175919
    Abstract: A borderless contact structure and method of forming thereof are provided. A device isolation region having a protrusion is formed at a predetermined region of a semiconductor substrate. The top surface of the protrusion is higher in level than that of the semiconductor substrate. An impurity diffusion region is formed in an active region surrounded by the device isolation region. An etch stop spacer is formed on a sidewall of the protrusion. An etch stop layer and an interlayer insulating layer are sequentially formed on the resultant structure including the impurity diffusion region, the device isolation region and the etch stop spacer. A contact hole opening the interlayer insulating layer and the etch stop layer is formed to expose at least a portion of the impurity diffusion region.
    Type: Application
    Filed: February 18, 2004
    Publication date: September 9, 2004
    Applicant: Samsung Electronic Co., Ltd.
    Inventors: Hoe-Seong Ha, Jun-Eui Song
  • Patent number: 6784091
    Abstract: A method for forming interconnect structures in a magnetic random access memory (MRAM) device includes defining an array of magnetic tunnel junction (MTJ) stacks over a lower metallization level. A encapsulating dielectric layer is formed over the array of MTJ stacks and the lower metallization level. Then, a via opening is defined in the encapsulating dielectric layer, and a planar interlevel dielectric (ILD) layer is deposited over the encapsulating dielectric layer and within the via opening. Openings are then formed within ILD layer, over the array of MTJ stacks and the via opening.
    Type: Grant
    Filed: June 5, 2003
    Date of Patent: August 31, 2004
    Assignees: International Business Machines Corporation, Infineon Technologies, AG
    Inventors: Joachim Nuetzel, Christian Arndt, Greg Costrini, Michael C. Gaidis, Xian Jay Ning
  • Patent number: 6784478
    Abstract: An apparatus and fabrication process for a capacitor formed in conjunction with a dual damascene process. A bottom capacitor plate is electrically connected to an overlying first conductive via formed according to the dual damascene process. A top capacitor plate is connected to an overlying second conductive via. A dielectric material is disposed between the top and the bottom plates. The capacitor is formed by successively forming the bottom plate, the dielectric layer, and the top plate, patterning these layers as required after their formation. The first conductive via is formed over and electrically connected to the bottom plate and the second conductive via is formed over and connected to the top capacitor plate thereby providing for interconnection of the capacitor to other circuit elements by way of the dual damascene conductive runners connected to the conductive vias.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: August 31, 2004
    Assignee: Agere Systems Inc.
    Inventors: Sailesh M. Merchant, Yifeng W. Yan
  • Publication number: 20040161920
    Abstract: The invention is directed to improving of a yield and reliability of a BGA type semiconductor device having ball-shaped conductive terminals. A semiconductor wafer having warped portions is supported by a plurality of pins, being spaced from a heated stage. The semiconductor wafer is heated as a whole by uniformly irradiating thermal radiation thereto by using IR heaters disposed on an upper part of the semiconductor wafer and side heaters facing to lateral surfaces of the semiconductor wafer. This enables uniform reflowing of the conductive terminals provided on the semiconductor wafer, and makes each of the conductive terminals form a uniform shape.
    Type: Application
    Filed: December 12, 2003
    Publication date: August 19, 2004
    Applicant: Sanyo Electric Co., Ltd.
    Inventor: Takashi Noma
  • Patent number: 6770555
    Abstract: When contact holes are concurrently formed in an inter-level insulating layer over an impurity region in a silicon substrate and a polycide line on a thick field oxide layer, the manufacturer interrupts the etching at the refractory metal silicide layer of the polycide line, and restarts the etching after removal of a part of the refractory metal silicide layer exposed to the short contact hole, thereby preventing the impurity region from undesirable etching for the refractory metal silicide layer.
    Type: Grant
    Filed: February 11, 1999
    Date of Patent: August 3, 2004
    Assignee: NEC Corporation
    Inventor: Yasushi Yamazaki
  • Publication number: 20040147107
    Abstract: The invention relates to a method for the production of an integrated circuit, comprising the following steps: a substrate (1) is provided with at least one first, second and third gate stack (GS1, GS2, GS3) of approximately the same height on the surface of said substrate, a common active area (60) being provided on the surface of the substrate in said substrate (1) between the first and second gate stack (GS1, GS2); a first insulating layer (70) is provided in order to cover the embedding of the first, second and third gate stack (GS1, GS2, GS3); the upper side of a gate connection (20) of the third gate stack (GS3) is uncovered; a second insulating layer (80) is provided in order to cover the upper side of a gate connection (20); a mask (M2) is provided on the resulting structure having a first opening (12a) above the uncovered upper side of the gate connection (20) of the third gate stack (GS3), a second opening (F2b) above the substrate (1) between the third and second gate stack (GS3, GS2) and a third o
    Type: Application
    Filed: October 30, 2003
    Publication date: July 29, 2004
    Inventors: Wolfgang Gustin, Kae-Horng Wang, Matthias Kroenke
  • Patent number: 6759320
    Abstract: A method of forming a transistor for a semiconductor device from a semiconductor wafer comprises forming a first nitride layer over the front and back of the wafer, and forming a second nitride layer over the front and back of the wafer and over the first nitride layer. A first resist layer is formed over the front of the wafer and at least a portion of the second nitride layer over the front of the wafer is exposed. The first and second nitride layers are removed from the back of the wafer while, simultaneously, at least a portion of the exposed portion of the second nitride layer over the front of the wafer is removed. Next, a second layer of resist is formed leaving at least a portion of the first nitride layer exposed. Finally, the exposed portion of the first nitride layer is etched.
    Type: Grant
    Filed: August 28, 2002
    Date of Patent: July 6, 2004
    Assignee: Micron Technology, Inc.
    Inventor: David S. Becker
  • Patent number: 6746956
    Abstract: A semiconductor die is formed in a process that forms a trench opening in the semiconductor material prior to the formation of the contacts and the metal-1 layer. When contacts are then formed to contact circuit structures, such as a doped region in the top surface of the semiconductor material, a trench contact is formed that fills up the trench opening. During the final steps of the process, the back side of the semiconductor material is ground down to expose the trench contact. The wafer is cut to form a plurality of dice, and the exposed edges of the dice are protected.
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: June 8, 2004
    Assignee: National Semiconductor Corporation
    Inventors: Gobi R. Padmanabhan, Visvamohan Yegnashankaran
  • Patent number: 6746949
    Abstract: A more robust mechanical connection is provided between a semiconductor device and the device package by adding one or more bumps to the gate connection without adding more gate pad area. A nonconductive layer covers the area around the gate pad and extends over the source area. One or more bumps fabricated on the nonconductive layer provide mechanical strength and support to the gate pad connection. The added bumps are not electrically connected to either the gate or the source. The package connections must be altered, both to fit the added bumps on the control gate, and to connect with fewer bumps on the source.
    Type: Grant
    Filed: May 8, 2003
    Date of Patent: June 8, 2004
    Assignee: Fairchild Semiconductor Corporation
    Inventor: R. Evan Bendal
  • Patent number: 6743708
    Abstract: An interlayer insulation film (31) on a plug (11) is etched using a silicon nitride film (32) used in pattern etching of a bit line (12) as a hard mask such that the plug (11) projects into a groove (40). Another silicon nitride film (33) is provided to cover an exposed surface of the groove (40), the bit line (12) and the silicon nitride film (32), thereby forming another interlayer insulation film (34) on the silicon nitride film (33) to fill the groove (40). The silicon nitride films (33, 32) are used as an etching stopper to etch the interlayer insulation film (34) above the plug (11). The silicon nitride film (33) on the plug (11) is etched to expose the plug (11) into a recess.
    Type: Grant
    Filed: October 9, 2002
    Date of Patent: June 1, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Shinya Watanabe, Shunji Yasumura
  • Patent number: 6740593
    Abstract: The invention includes a semiconductor processing method in which a semiconductor substrate is exposed to reactive ion etching conditions. The reactive ion etching conditions comprise subjecting exposed surfaces of the substrate to a gas having components therein which are reactive with the exposed surfaces. A total concentration of the reactive components within the gas is less than 4.5%, by volume. In particular aspects, the total concentration of the reactive components can be less than 2% by volume, or less than 1% by volume. Exemplary reactive components are fluorine-containing components, such as NF3.
    Type: Grant
    Filed: January 25, 2002
    Date of Patent: May 25, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Kevin J. Torek, Satish Bedge
  • Publication number: 20040097064
    Abstract: As an opening exposing a surface of an element-forming region positioned in a region lying between two gate electrodes, a first opening is formed based on a resist pattern formed such that a portion of a region where the opening is formed overlaps two-dimensionally with a portion of one gate electrode. As an opening exposing a surface of one gate electrode, a second opening is formed based on a resist pattern formed such that a region where the opening is formed overlaps two-dimensionally solely with one gate electrode. Here, the first opening is covered with a non-photosensitive, organic film and the resist pattern. Thereafter, a tungsten interconnection is formed in the first and second openings. Thus, a semiconductor device, of which production cost is reduced, and in which electrical short-circuit and falling off of an interconnection are suppressed, can be obtained.
    Type: Application
    Filed: May 12, 2003
    Publication date: May 20, 2004
    Applicant: RENESAS TECHNOLOGY CORP.
    Inventors: Takashi Terada, Motoi Ashida, Tomohiro Hosokawa, Yasuichi Masuda
  • Patent number: 6737345
    Abstract: A method of fabrication used for semiconductor integrated circuit devices to define a thin copper fuse at a top via opening, in a partial etch, dual damascene integration scheme, efficiently reducing top metal thickness in a fusible link, for the purpose of laser ablation. Some advantages of the method are: (a) avoids copper fuse contact to low dielectric material, which is subject to the thermal shock of laser ablation, (b) increases insulating material thickness over the fuse using better thickness control, and most importantly, (c) reduces the copper fuse thickness, for easy laser ablation of the copper fuse, and finally, (d) uses USG, undoped silicate glass to avoid direct contact with low dielectric constant materials.
    Type: Grant
    Filed: September 10, 2002
    Date of Patent: May 18, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Kang-Cheng Lin, Chin-Chiu Hsia
  • Patent number: 6734084
    Abstract: A method for manufacturing a semiconductor device is capable of controlling amounts of protrusion of penetration electrodes (5) from a rear surface of a semiconductor substrate (4) in a easy and accurate manner. Recesses (7) are formed in a substrate proper (6) that has a semiconductor circuit (2) formed on one surface thereof, and an insulation film (8) is formed on an inner wall surface of each of the recesses (7). A conductive material is filled into the recesses (7) through the insulation films (8) to form embedded electrodes (15) that constitute the penetration electrodes (5). A rear side of the substrate proper (6) is re moved until one end face of each of the embedded electrodes (15) is exposed, thereby to form the penetration electrodes (5). The rear surface of the substrate proper (6) is anodized to form an anodic oxide film (9), which is then removed by etching to form the semiconductor substrate (4).
    Type: Grant
    Filed: June 26, 2003
    Date of Patent: May 11, 2004
    Assignees: Mitsubishi Denki Kabushiki Kaisha, Sony Corporation, Fujitsu Limited
    Inventors: Yoshihiko Nemoto, Masataka Hoshino, Hitoshi Yonemura
  • Publication number: 20040087134
    Abstract: An integrated magnetoresisitive semiconductor memory configuration has MRAM memory cells located at crossover points of selection lines that are embedded in different, mutually separate line planes. A read/write current can be impressed in respective selection lines for writing to each MRAM memory cell and for reading an information item written therein. In this magnetoresistive semiconductor memory configuration, selection lines that serve for reading a cell information item are in each case located in separate first and second line planes in direct contact with the memory cells. A third and a fourth line plane are spatially separated and electrically isolated from the first and second line planes and are occupied by write selection lines for writing a cell information item.
    Type: Application
    Filed: October 14, 2003
    Publication date: May 6, 2004
    Inventor: Peter Weitz
  • Patent number: 6713380
    Abstract: Methods for fabricating semiconductor devices are described, including methods which improve an etching selection ratio of a film to be etched against metal silicide, Si, and photoresist. One method for fabricating a semiconductor device includes the steps of forming Ti silicide films 9a-9c on the gate electrode 3 and the diffusion layers 6 and 7 of source/drain regions, forming an interlayer dielectric film 10 on the Ti silicide films, and dry-etching the interlayer dielectric film to form in the interlayer dielectric film 10 a contact hole 10a located above the gate electrode, and contact holes 10b and 10c located above the diffusion layers of the source/drain regions, wherein etching gas used for the dry-etching is gas including at least fluorocarbon gas and one of O2 gas and O3 gas, and the temperature of the semiconductor substrate is 30° C. or lower when the dry-etching is conducted.
    Type: Grant
    Filed: May 16, 2002
    Date of Patent: March 30, 2004
    Assignee: Seiko Epson Corporation
    Inventor: Takashi Kokubu
  • Patent number: 6709970
    Abstract: A method for forming void-free, low contact-resistance damascene interconnects during a manufacturing process of an integrated circuit having both narrow and deep openings and wide and shallow openings on a same substrate features a two-step copper (Cu) deposition process, with a high-temperature rapid annealing process being conducted after the first deposition. After forming in a top surface a narrow and deep opening and a wide and shallow opening, a first copper (Cu) layer is deposited on a seed layer using a small-grained Cu material to completely fill the narrow and deep opening. After annealing the first Cu layer to reduce stress on the resulting structure, a second layer of large-grained Cu material is deposited on the annealed first Cu layer to fill the remainder of the openings. The resulting assembly, which requires no additional annealing, is then planarized to the original surface.
    Type: Grant
    Filed: September 3, 2002
    Date of Patent: March 23, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chankeun Park, Sangrok Hah, Juhyuck Chung, Hongseong Son, Byunglyul Park
  • Patent number: 6706623
    Abstract: An electronic device and method of construction are disclosed that provide for a dielectric layer (12) having a low dielectric constant. A conductive sheath layer (18) is disposed adjacent to the dielectric layer (12). The conductive sheath layer (18) is operable to electrically divert etchant particles used in a plasma etch process away from the dielectric layer (12). In another embodiment of the disclosed invention, a method is provided which comprises covering an inner layer (40) with a layer of dielectric material (42). The method also comprises depositing a conductive sheath layer (48) outwardly from the layer of dielectric material (42). A photoresist layer (50) is then deposited outwardly from the conductive sheath layer (48). The photoresist layer (50) is then patterned resulting in a patterned mask composed of portions of the photoresist layer (50) disposed outwardly from the conductive sheath layer (48).
    Type: Grant
    Filed: July 1, 1999
    Date of Patent: March 16, 2004
    Assignee: Texas Instruments Incorporated
    Inventor: Justin F. Gaynor
  • Patent number: 6703301
    Abstract: Tungsten plugs are prevented from corrosion, during fabrication of semiconductor devices, where the tungsten plug is formed in a substrate and coupled with a wire formed on the substrate. The substrate is dipped into a non-ionic benign solvent which substantially discharges the charges accumulated on a surface of the wire, followed by a rinsing process to clean the surface of the wire and then spin-drying.
    Type: Grant
    Filed: April 26, 2002
    Date of Patent: March 9, 2004
    Assignee: Macronix International Co., Ltd.
    Inventors: Chen Chung Tai, Tung Ke-Wei, Chung Chia Chi, Lee Chun Hung