Having Viaholes Of Diverse Width Patents (Class 438/638)
  • Patent number: 7041592
    Abstract: A method for forming a metal interconnection layer of a semiconductor device comprises forming a film including a material selective to a medium used in an ashing process on an interlayer insulating film. The method comprises transforming the film during the ashing process to form an interconnection pattern having a dual damascene structure. A dielectric material such as copper is deposited on the interconnection pattern, which is planarized through CMP, thereby forming a via contact having a single damascene structure without a recess therein.
    Type: Grant
    Filed: May 30, 2003
    Date of Patent: May 9, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-hak Kim, Soo-geun Lee, Kyung-woo Lee
  • Patent number: 7038317
    Abstract: Disclosed is a semiconductor device which has a wiring structure including a small-width wiring connected to a large-width wiring through a connection hole or holes formed in an inter-layer insulation film and in which reliability of wiring can be enhanced by regulating the number of the connection hole or holes and the location(s) of the connection hole or hole.
    Type: Grant
    Filed: July 8, 2003
    Date of Patent: May 2, 2006
    Assignee: Sony Corporation
    Inventors: Yoshiyuki Enomoto, Keishi Inoue
  • Patent number: 7037851
    Abstract: Method for the production of airgaps in a semiconductor device, the semiconductor device comprising a stack of layers, the stack of layers comprising at least one iteration of a sub-stack of layers.
    Type: Grant
    Filed: September 30, 2004
    Date of Patent: May 2, 2006
    Assignee: Interuniversitair Microelektronica Centrum (IMEC vzw)
    Inventors: Jean Paul Gueneau de Mussy, Gerald Beyer, Karen Maex
  • Patent number: 7033935
    Abstract: The invention simplifies the manufacturing processes and increases the yield. A semiconductor wafer equipped with a plurality of semiconductor chip forming sections is prepared. An electrical characteristic examination is conducted for each of the semiconductor chip forming sections to determine good product sections or bad product sections. At least another segmented semiconductor chip is electrically connected to each of the semiconductor chip forming sections that are determined to be good product sections.
    Type: Grant
    Filed: November 26, 2003
    Date of Patent: April 25, 2006
    Assignee: Seiko Epson Corporation
    Inventor: Kenji Wada
  • Patent number: 7033928
    Abstract: A method of fabricating a semiconductor device, including at least the steps of (a) forming a via-hole or trench throughout an electrically insulating layer, (b) forming a wiring material layer on the electrically insulating layer such that the via-hole or trench is filled with the wiring material layer, (c) annealing the wiring material layer, (d) cooling the wiring material layer down to a temperature equal to or lower than a predetermined temperature, and (e) applying chemical mechanical polishing (CMP) to the wiring material layer such that the wiring material layer exists only in the via-hole or trench. The step (c) is carried out prior to the step (e), and the step (d) is carried out after the step (c).
    Type: Grant
    Filed: November 30, 2004
    Date of Patent: April 25, 2006
    Assignee: NEC Electronics Corporation
    Inventor: Masaya Kawano
  • Patent number: 7033925
    Abstract: A mask pattern (110) of a pattern transfer mask (101) includes a light shielding pattern (111) and a light transmitting pattern (112). The light shielding pattern (111) has a shape (pattern) subjected to undersizing near portions corresponding to via holes (51H). It is desirable to make undersizing to a greater degree in a region where the via holes (51H) occupy a larger area. While the mask (101) is intended for a negative-type resist, the light shielding pattern (111) and the light transmitting pattern (112) may be changed in position with each other in a mask intended for a positive-type resist.
    Type: Grant
    Filed: May 22, 2003
    Date of Patent: April 25, 2006
    Assignee: Renesas Technology Corp.
    Inventor: Junjirou Sakai
  • Patent number: 7033929
    Abstract: A dual damascene interconnect structure is formed by patterning a first dielectric to form a metal line. A second dielectric is disposed on the first dielectric and patterned to form a via. The first metal line is patterned in a configuration relative to a via landing so that a cavity is formed when the via etch into the second dielectric is extended into the first dielectric. The cavity is filled with a conductive metal in an integral manner with the formation of the via to form a via projection for improved electrical contact between the via and the metal line.
    Type: Grant
    Filed: December 23, 2002
    Date of Patent: April 25, 2006
    Assignee: LSI Logic Corporation
    Inventors: Peter A. Burke, William K. Barth, Hongqiang Lu
  • Patent number: 7030016
    Abstract: A method of forming a copper interconnect in a dual damascene scheme is described. After a diffusion barrier layer and seed layer are sequentially formed on the sidewalls and bottoms of a trench and via in a dielectric layer, a first copper layer is deposited by a first ECP process at a 10 mA/cm2 current density to fill the via and part of the trench. A first anneal step is performed to remove carbon impurities and optionally includes a H2 plasma treatment. A second ECP process with a first deposition step at a 40 mA/cm2 current density and second deposition step at a 60 mA/cm2 current density is used to deposit a second copper layer-that overfills the trench. After a second anneal step, a CMP process planarizes the copper layers. Fewer copper defects, reduced S, Cl, and C impurities, and improved Rc performance are achieved by this method.
    Type: Grant
    Filed: March 30, 2004
    Date of Patent: April 18, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hsien-Ping Feng, Jung-Chih Tsao, Hsi-Kuei Cheng, Chih-Tsung Lee, Ming-Yuan Cheng, Steven Lin, Ray Chuang, Chi-Wen Liu
  • Patent number: 7026242
    Abstract: In a method for filling a hole with a metal, an insulating layer, a first mask layer and a second mask layer are successively formed on a semiconductor substrate. The first and second mask layers are etched using a photoresist pattern to form first and second masks. The first mask layer pattern is selectively etched using an etchant, the first mask layer pattern having a higher etching selectivity than the second layer pattern with respect to the etchant, to form a third mask layer pattern having a broadened opening. The insulating layer is etched using the second mask to form a hole in the insulating layer. A metal layer is formed in the hole and the third opening. The metal layer is planarized to form a metal plug buried in the hole without recesses or voids.
    Type: Grant
    Filed: March 16, 2004
    Date of Patent: April 11, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hong-Seong Son, Sang-Rok Hah, Il-Goo Kim, Jun-Hwan Oh
  • Patent number: 7026239
    Abstract: A method of manufacturing an anisotropic conductive polymer film on a semiconductor wafer including on one surface a layer of passivation in which at least one opening is made to allow access to a contact pad. The method can be applied to creating components (chips, integrated circuits) with high-density interconnections.
    Type: Grant
    Filed: July 22, 2003
    Date of Patent: April 11, 2006
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Jean-Charles Souriau, Pierre Renard, Jean Brun
  • Patent number: 7022600
    Abstract: In order to avoid a faulty pattern resulting from a photoresist tail being formed due to a step difference of an upper hard mask layer when a dual hard mask layer is used, a planarization layer is formed following patterning of the upper hard mask layer. In this manner, a photoresist pattern is formed without the creation of a photoresist tail. Alternatively, a single hard mask layer and a planarization layer are substituted for the dual lower hard mask layer and an upper hard mask layer, respectively. In this manner, it is therefore possible to form a photoresist pattern without a photoresist tail being formed during photolithographic processes. In order to prevent formation of a facet, the planarization layer is thickly formed or, alternatively, the hard mask layer is etched using the photoresist pattern.
    Type: Grant
    Filed: May 14, 2003
    Date of Patent: April 4, 2006
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Jae-Hak Kim, Soo-Geun Lee, Ki-Kwan Park, Kyoung-Woo Lee
  • Patent number: 7018922
    Abstract: A method of forming a contact in a flash memory device is disclosed. The method increases the depth of focus margin and the overlay margin between the contact and the stacked gate layers. A plurality of stacked gate layers are formed on a semiconductor substrate, wherein each stacked gate layer extends in a predefined direction and is substantially parallel to other stacked gate layers. An interlayer insulating layer is deposited over the plurality of stacked gate layers, and a contact hole is patterned between a first stacked gate layer of the plurality of stacked gate layers and a second stacked gate layer of the plurality of stacked gate layers. The contact hole is formed in an elongated shape, wherein a major axis of the contact hole is substantially parallel to the stacked gate layers. A conductive layer is deposited in the contact hole and excess conductive material is removed.
    Type: Grant
    Filed: October 19, 2004
    Date of Patent: March 28, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Hung-eil Kim, Anna Minvielle, Christopher F. Lyons, Marina V. Plat, Ramkumar Subramanian
  • Patent number: 7018917
    Abstract: Multiple metallization layers in a partially fabricated integrated circuit are formed in a single process step. As a place-holder for the later-deposited metallization layers, sacrificial material is deposited in the integrated circuit at desired locations at various fabrication levels over a substrate. The sacrificial material is then removed to form a contiguous open volume spanning multiple fabrication levels. A conductor is then deposited in the open volume to form multiple metallization layers in a single step.
    Type: Grant
    Filed: November 20, 2003
    Date of Patent: March 28, 2006
    Assignee: ASM International N.V.
    Inventor: Kai-Erik Elers
  • Patent number: 7019819
    Abstract: The present invention is directed to a chucking system to modulate substrates so as to properly shape and position the same with respect to a wafer upon which a pattern is to be formed with the substrate. The chucking system includes a chuck body having first and second opposed sides. A side surface extends therebetween. The first side includes first and second spaced-apart recesses defining first and second spaced-apart support regions. The first support region cinctures the second support region and the first and second recesses. The second support region cinctures the second recess, with a portion of the body in superimposition with the second recess being transparent to radiation having a predetermined wavelength. The second side and the side surface define exterior surfaces. The body includes throughways placing the first and second recesses in fluid communication with one of the exterior surfaces.
    Type: Grant
    Filed: November 13, 2002
    Date of Patent: March 28, 2006
    Assignee: Molecular Imprints, Inc.
    Inventors: Byung J. Choi, Ronald D. Voisin, Sidlgata V. Sreenivasan, Michael P. C. Watts, Daniel Babbs, Mario J. Meissl, Hillman Bailey, Norman E. Schumaker
  • Patent number: 7015137
    Abstract: This invention provides a semiconductor device that can ensure that stress on the nitride film is not increased or is reduced, and that can prevent an increase in interconnection capacity. The semiconductor device comprises a underlayer, a base oxide film that is formed on this underlayer, a nitride film pattern with a hole pattern that is provided on this base oxide film, holes that penetrate the base oxide film, an upper oxide film provided on the base oxide film to cover the nitride film pattern, wiring grooves provided through the upper oxide film in which part of the nitride film pattern including the hole pattern is exposed, and wiring metal that fills the holes and wiring grooves. The nitride film pattern is formed with such a shape and size that surrounds the outside of the wiring grooves and is separate from neighbouring nitride film patterns.
    Type: Grant
    Filed: April 28, 2004
    Date of Patent: March 21, 2006
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Toyokazu Sakata, Hidenori Inui
  • Patent number: 7015150
    Abstract: Methods and structures having pore-closing layers for closing exposed pores in a patterned porous low-k dielectric layer, and optionally a reactive liner on the low-k dielectric. A first reactant is absorbed or retained in exposed pores in the patterned dielectric layer and then a second reactant is introduced into openings such that it enters the exposed pores, while first reactant molecules are simultaneously being outgassed. The second reactant reacts in-situ with the outgassed first reactant molecules at a mouth region of the exposed pores to form the pore-closing layer across the mouth region of exposed pores, while retaining a portion of each pore's porosity to maintain characteristics and properties of the porous low-k dielectric layer. Optionally, the first reactant may be adsorbed onto the low-k dielectric such that upon introduction of the second reactant into the patterned dielectric openings, a reactive liner is also formed on the low-k dielectric.
    Type: Grant
    Filed: May 26, 2004
    Date of Patent: March 21, 2006
    Assignee: International Business Machines Corporation
    Inventors: Edward C. Cooney, III, John A. Fitzsimmons, Jeffrey P. Gambino, Stephen E. Luce, Thomas L. McDevitt, Lee M. Nicholson, Anthony K. Stamper
  • Patent number: 7015136
    Abstract: A method for preventing formation of photoresist scum. First, a substrate on which a dielectric layer is formed is provided. Next, a non-nitrogen anti-reflective layer is formed on the dielectric layer. Finally, a photoresist pattern layer is formed on the non-nitrogen anti-reflective layer. During the formation of the photoresist pattern layer, the non-nitrogen anti-reflective layer does not react with the photoresist pattern layer, thus not forming photoresist scum. This prevents undesired etching profile and critical dimension (CD) change due to presence of photoresist scum. The non-nitrogen anti-reflective layer can be silicon-rich oxide (SiOx) or hydrocarbon-containing silicon-rich oxide (SiOxCy:H).
    Type: Grant
    Filed: July 10, 2003
    Date of Patent: March 21, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tien-I Bao, Shwang-Min Jeng, Syun-Ming Jang
  • Patent number: 7015133
    Abstract: A method for forming a dual damascene interconnect structure provides an intermetal dielectric that includes a spin-on low-k dielectric material formed over a CVD low-k dielectric material. A via opening is formed by etching through the spin-on low-k dielectric material and the CVD low-k dielectric material and a plug material is introduced to fill the via opening. A highly selective trench etching operation etches a trench in the upper, spin-on low-k dielectric material and removes the plug material from the via without attacking the lower CVD low-k dielectric material to form the dual damascene opening which is then filled with a conductive interconnect material. The intermetal dielectric formed of multiple low-k dielectric layers provides advantageous electrical and mechanical properties.
    Type: Grant
    Filed: April 14, 2004
    Date of Patent: March 21, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Yi-Nien Su, Jyu-Horng Shieh
  • Patent number: 7012020
    Abstract: In accordance with the objectives of the invention a new method and structure is provided for the creation of multiple overlying layers of interconnect metal. A channel is reserved for the creation of via interconnects, no vias are placed on metal lines. The metal lines are stacked and parallel, whereby a space is provided between lines that is reserved for the creation of vias for layer interconnection. This structure can be repeated, the vias are placed on the therefore reserved channel, interconnections are provided to the interconnect traces.
    Type: Grant
    Filed: September 12, 2003
    Date of Patent: March 14, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co. Ltd.
    Inventor: Tzong-Shi Jan
  • Patent number: 7005375
    Abstract: A process for preventing interconnect metal diffusion into the surrounding dielectric material. Prior to the formation of a metal interconnect in an opening of a dielectric region, the underlying metal surface is cleaned, during which metal can be deposited on the sidewalls of the opening. This metal can diffuse into the dielectric and cause leakage currents. To prevent deposition of the metal onto the sidewalls a barrier layer is deposited into the opening and sputtered onto the sidewalls before the metal surface cleaning step.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: February 28, 2006
    Assignee: Agere Systems Inc.
    Inventors: Subramanian Karthikeyan, Sailesh M. Merchant
  • Patent number: 7001836
    Abstract: A process for defining a dual damascene opening in a stack of insulator layers to expose a portion of a top surface of an underlying conductive structure, has been developed. The process features a two step procedure for removal of insulator stop layers, wherein the stop layers are employed to allow selective dry etch procedures to be used for definition of both the via opening component and the trench shape component of the dual damascene opening. After definition of the via opening, terminating at the top surface of an underlying, first silicon nitride stop layer, a photoresist shape is used as an etch mask to allow a dry etch procedure to define a trench shape in a top portion of an insulator stack, with the dry etch procedure terminating at the top surface of an overlying second silicon nitride stop layer. The dry etch procedure also results in formation of a photoresist plug in the via hole, located on an underlying, first silicon nitride stop layer.
    Type: Grant
    Filed: March 25, 2004
    Date of Patent: February 21, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Fu-Kai Yang, Shu-Huei Suen
  • Patent number: 6995074
    Abstract: A method for forming a semiconductor wafer such as a standard semiconductor wafer used in a surface analysis system. Openings may be formed by partially etching a semiconductor substrate, and an insulation film may be formed on the openings. Contact holes may be formed to expose portions of the semiconductor substrate and the insulation film in the openings. The contact holes may be inspected by the surface analysis system, and the reliability of data obtained from the surface analysis system may be more precisely discriminated.
    Type: Grant
    Filed: March 21, 2003
    Date of Patent: February 7, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Deok-Yong Kim
  • Patent number: 6995087
    Abstract: An integrated circuit manufacturing method includes providing a base, forming a first conductor, forming a first barrier layer, forming a first dielectric layer, and forming a masking layer. The method further including forming a first via opening in the masking layer, forming a first trench opening in the masking layer, and simultaneously forming a second via opening in a layer under the masking layer, and forming a second trench opening through the masking layer and in the layer under the masking layer and simultaneously forming a third via opening in another layer under the masking layer. The method further including removing the first barrier layer using the third via opening and the masking layer to form a trench and a via, and filling the trench and the via with a conductor to form a trench and via conductor in contact with the first conductor.
    Type: Grant
    Filed: December 23, 2002
    Date of Patent: February 7, 2006
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Wuping Liu, Juan Boon Tan, Bei Chao Zhang, Alan Cuthbertson
  • Patent number: 6992003
    Abstract: A backend semiconductor fabrication process includes forming an interlevel dielectric (ILD) overlying a wafer substrate by forming a low K dielectric (K<3.0) overlying the substrate of the wafer, forming an organic silicon-oxide glue layer overlying the low K dielectric, and forming a CMP stop layer dielectric overlying the glue layer dielectric. A void is then formed in the ILD, a conductive material is deposited to fill the void, and a polish process removes the excess conductive material. Forming the glue layer dielectric and the CMP stop layer dielectric is achieved by forming a CVD plasma using an organic precursor and an oxygen precursor and maintaining the plasma through the formation of the glue layer dielectric and the stop layer. The flow rate of the organic precursor is reduced relative to the oxygen precursor flow rate to form a CMP stop layer that is substantially free of carbon.
    Type: Grant
    Filed: September 11, 2003
    Date of Patent: January 31, 2006
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Gregory S. Spencer, Kurt H. Junker, Jason A. Vires
  • Patent number: 6989282
    Abstract: A device, system and method for evaluating reliability of a semiconductor chip are disclosed. Strain is determined at a location of interest in a structure. Failures are evaluated in a plurality of the structures after stress cycling to determine a strain threshold with respect to a feature characteristic. Structures on a chip or chips are evaluated based on the feature characteristic to predict reliability based on the strain threshold and the feature characteristic. Predictions and design changes may be made based on the results.
    Type: Grant
    Filed: April 1, 2004
    Date of Patent: January 24, 2006
    Assignee: International Business Machines Corporation
    Inventors: Ronald Gene Filippi, Lynne Marie Gignac, Vincent J. McGahay, Conal Eugene Murray, Hazara Singh Rathore, Thomas M. Shaw, Ping-Chuan Wang
  • Patent number: 6982200
    Abstract: Disclosed is a method of manufacturing a semiconductor device which has reliable buried interconnects (wirings) and a reliable MIM capacitor. An interconnect and a capacitor bottom electrode are formed inside a hole made in six insulation films. Then a barrier insulation film is formed on the uppermost film (of the above six insulation films) including the interconnect and the top face of the bottom electrode. After two insulation films are formed above the barrier insulation film, a hole is made in the two insulation films and a capacitor top electrode is buried in that hole. The barrier insulation film also functions as a capacity insulation film for the capacitor. Then, after three other insulation films are formed on the upper film (of the above two insulation films) including the top face of the top electrode, a hole is made in the barrier insulation film, the two insulation films, and the three other insulation films, and another interconnect is buried in that hole.
    Type: Grant
    Filed: December 31, 2003
    Date of Patent: January 3, 2006
    Assignee: Renesas Technology Corporation
    Inventors: Junji Noguchi, Toshinori Imai, Tsuyoshi Fujiwara
  • Patent number: 6979641
    Abstract: A dielectric is formed over a node location on a semiconductor substrate. The dielectric comprises an insulative material over the node location, an insulative polish stop layer over the insulative material, and an insulator layer over the insulative polish stop layer. A contact opening is formed into the insulator layer, the insulative polish stop layer and the insulative material to proximate the node location. A conductive material is deposited over the insulator layer and to within the contact opening. The conductive material and the insulator layer are polished to at least a portion of the insulative polish stop layer. In one implementation and prior to depositing the conductive material, at least a portion of the contact opening is widened with an etching chemistry that is selective to widen it within the insulative material to a degree greater than any widening of the contact opening within the insulative polish stop layer.
    Type: Grant
    Filed: March 19, 2004
    Date of Patent: December 27, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Michael J. Hermes
  • Patent number: 6977224
    Abstract: A method comprising introducing an interconnect structure in an opening through a dielectric over a contact point, and introducing a conductive shunt material through a chemically-induced oxidation-reduction reaction. A method comprising introducing an interconnect structure in an opening through a dielectric over a contact point, introducing a conductive shunt material having an oxidation number over an exposed surface of the interconnect structure, and reducing the oxidation number of the shunt. An apparatus comprising a substrate comprising a device having contact point, a dielectric layer overlying the device with an opening to the contact point, and an interconnect structure disposed in the opening comprising an interconnect material and a different conductive shunt material.
    Type: Grant
    Filed: December 28, 2000
    Date of Patent: December 20, 2005
    Assignee: Intel Corporation
    Inventors: Valery M. Dubin, Christopher D. Thomas, Paul McGregor, Madhav Datta
  • Patent number: 6972209
    Abstract: A multilevel semiconductor integrated circuit (IC) structure including a first interconnect level including a layer of dielectric material over a semiconductor substrate, the layer of dielectric material comprising a dense material for passivating semiconductor devices and local interconnects underneath; multiple interconnect layers of dielectric material formed above the layer of dense dielectric material, each layer of dielectric material including at least a layer of low-k dielectric material; and, a set of stacked via-studs in the low-k dielectric material layers, each of said set of stacked via studs interconnecting one or more patterned conductive structures, a conductive structure including a cantilever formed in the low-k dielectric material.
    Type: Grant
    Filed: November 27, 2002
    Date of Patent: December 6, 2005
    Assignee: International Business Machines Corporation
    Inventors: Birendra N. Agarwala, Conrad A. Barile, Hormazdyar M. Dalal, Brett H. Engle, Michael Lane, Ernest Levine, Xiao Hu Liu, Vincent McGahay, John F. McGrath, Conal E. Murray, Jawahar P. Nayak, Du B. Nguyen, Hazara S. Rathore, Thomas M. Shaw
  • Patent number: 6969649
    Abstract: A DRAM has, in one embodiment, a plurality of word lines each having its upper and side surfaces covered with a first insulating film, a plurality of bit lines each being provided so as to be insulated from and transverse to the word lines and being covered with a second insulating film, and a plurality of memory cells each provided at an intersection between one word line and one bit line and including a capacitor and a memory cell selection transistor, in which contact holes for connection between semiconductor regions and capacitors and between semiconductor regions and bit lines are formed in self-alignment and the second insulating film is made of a material having a permittivity smaller than that of the first insulating film.
    Type: Grant
    Filed: March 29, 2004
    Date of Patent: November 29, 2005
    Assignees: Hitachi, Ltd., Texas Instruments Incorporated
    Inventors: Toshihiro Sekiguchi, Yoshitaka Tadaki, Keizo Kawakita, Hideo Aoki, Toshikazu Kumai, Kazuhiko Saito, Michio Nishimura, Michio Tanaka, Katsuo Yuhara, Shinya Nishio, Toshiyuki Kaeriyama, Songsu Cho
  • Patent number: 6967138
    Abstract: A process for manufacturing a substrate with an embedded capacitor is disclosed. A first metal wiring layer including a lower electrode pad is formed on a substrate base. A dielectric layer is formed a on the substrate base by build-up coating. A hole is formed in the dielectric layer to expose the lower electrode pad, then a medium material is filled into the hole. The medium material is ground to have a ground surface coplanar to the dielectric layer. A second metal wiring layer including an upper electrode pad is formed on dielectric layer, the upper electrode pad covers the ground surface of the medium material and is parallel to the lower electrode pad so as to form an embedded capacitor.
    Type: Grant
    Filed: June 16, 2004
    Date of Patent: November 22, 2005
    Assignee: Advanced Semiconductor Engineering, Inc.
    Inventor: Yi-Chuan Ding
  • Patent number: 6964920
    Abstract: The present invention relates to a method of manufacturing a semiconductor device which may stably transfer an electrical signal by forming a plurality of via holes and contact holes to an underlying conductive layer. According to the present invention, even though a contact or via is electrically shorted, it is possible to stably transfer the electrical signal through the other contact hole(s) or via hole(s). The present method includes: forming a first conductive line on a semiconductor substrate; forming an insulating layer on the semiconductor substrate and the first conductive line; forming a plurality of via holes by selectively etching the insulating layer in order to expose the first conductive line; forming a metal barrier on top of the insulating layer and in the via holes; and forming a plug by depositing a conductive layer sufficiently to fill the via holes, and then planarizing the conductive layer to coplanarity with the insulating layer.
    Type: Grant
    Filed: December 3, 2003
    Date of Patent: November 15, 2005
    Assignee: Dongbu Electronics Co., Ltd.
    Inventors: Ja Suk Lee, Ji A Kim
  • Patent number: 6964874
    Abstract: The invention provides a technique of monitoring the void formation in a damascene interconnection process. According to the invention, a test structure is provided that includes at least two damascene structures that have at least one different cross-sectional geometric parameter. To monitor the void formation, the test structure is cut to expose a cross-sectional view to the damascene structures. The cross-sectional view is then inspected and the void formation is investigated in each of the damascene structures. The invention is particularly applicable to multi-level copper-based dual-damascene interconnection processes to monitor the voiding at the interface between barrier layers and bottom metal trenches. The invention allows monitoring of the void formation by locating only one structure on the chip and performing only one cut.
    Type: Grant
    Filed: September 27, 2002
    Date of Patent: November 15, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thomas Werner, Peter Hübler, Frank Koschinsky
  • Patent number: 6962869
    Abstract: A method of protecting a low k dielectric layer that is preferably comprised of a material containing Si, O, C, and H is described. The dielectric layer is subjected to a gas plasma that is generated from a CXHY gas which is preferably ethylene. Optionally, hydrogen may be added to the CXHY gas. Another alternative is a two step plasma process involving a first plasma treatment of CXHY or CXHY combined with H2 and a second plasma treatment with H2. The modified dielectric layer provides improved adhesion to anti-reflective layers and to a barrier metal layer in a damascene process. The modified dielectric layer also has a low CMP rate that prevents scratch defects and an oxide recess from occurring next to the metal layer on the surface of the damascene stack. The plasma treatments are preferably done in the same chamber in which the dielectric layer is deposited.
    Type: Grant
    Filed: October 15, 2002
    Date of Patent: November 8, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tien-I Bao, Hsin-Hsien Lu, Lih-Ping Li, Chung-Chi Ko, Aaron Song, Syun-Ming Jang
  • Patent number: 6962874
    Abstract: Disclosed is a method for fabricating a semiconductor device. The method comprises the steps of: sequentially forming a first anti-reflection layer and a first photoresist film on a substrate; forming a first image layer; forming a second anti-reflection layer and a second photoresist film; forming a second image layer which opens wider than the first image layer; supplying oxygen plasma to a resultant in order to transfer a pattern of the second image layer on the second anti-reflection layer and to transfer a pattern of the first image layer on the first anti-reflection layer, thereby forming an opening; forming a metal layer; forming a metal pattern to fill the opening; and removing the second image layer, the second anti-reflection layer, the first image layer, and the first anti-reflection layer.
    Type: Grant
    Filed: June 23, 2004
    Date of Patent: November 8, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sung Bo Hwang
  • Patent number: 6960522
    Abstract: A method for making a damascene interconnect structure with a bi-layer capping film is provided. The damascene interconnect structure comprises a semiconductor layer and a dielectric layer disposed on the semiconductor layer. The dielectric layer has a main surface and at least one damascened recess provided on the main surface. A copper wire is embedded in the damascened recess. The copper wire has a chemical mechanical polished upper surface, which is substantially co-planar with the main surface of the dielectric layer. After polishing the upper surface of the copper wire, the upper surface is pre-treated and reduced in a conductive plasma environment at a temperature of below 300° C. A bi-layer capping film is thereafter disposed on the upper surface of the copper wire. The bi-layer capping film consists of a lower HDPCVD silicon nitride layer and an upper doped silicon carbide layer.
    Type: Grant
    Filed: March 2, 2004
    Date of Patent: November 1, 2005
    Assignee: United Microelectronics Corp.
    Inventors: Jei-Ming Chen, Yi-Fang Chiang, Chih-Chien Liu
  • Patent number: 6960496
    Abstract: A method of integrated circuit fabrication includes first forming at least one via in an insulting layer, and thereafter forming at least one trench-like structure separately. After a via is formed in an insulating layer, a layer of resist material is formed on the surface of the insulting layer and substantially filled the via. This step is followed by patterning at least one trench-like structure on the resist layer, and the trench-like structure is etched to the desired level. In some other embodiments, at least one trench-like structure is formed before at least one via is formed. An integrated circuit is manufactured by the aforementioned methods.
    Type: Grant
    Filed: April 3, 2003
    Date of Patent: November 1, 2005
    Assignee: Taiwan Semiconductor Manufacturing
    Inventors: Chao-Cheng Chen, Kang-Cheng Lin
  • Patent number: 6958289
    Abstract: The present invention discloses a method for forming a metal line in a semiconductor device including the steps of: sequentially forming a first insulation film, an etch barrier film and a second insulation film on a semiconductor substrate on which the substructure has been formed; forming a plurality of via holes for exposing the substructure in different points by patterning the second insulation film, the etch barrier film and the first insulation film of the resulting structure, and forming a plurality of trench patterns respectively on the plurality of via holes by re-patterning the second insulation film and the etch barrier film of the resulting structure; forming a plurality of vias and trenches by filling a metal material in the plurality of via holes and trench patterns; removing the second insulation film; and forming a third insulation film over the resulting structure including the removed second insulation film.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: October 25, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Tae Kyung Kim
  • Patent number: 6953744
    Abstract: The present invention provides methods of fabricating integrated circuit devices that include a microelectronic substrate and a conductive layer disposed on the microelectronic substrate. An insulating layer is disposed on the conductive layer and the insulating layer includes an overhanging portion that extends beyond the conductive layer. A sidewall insulating region is disposed laterally adjacent to a sidewall of the conductive layer and extends between the overhanging portion of the insulating layer and the microelectronic substrate.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: October 11, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyoung-Joon Kim, Young-Wook Park, Byeong-Yun Nam
  • Patent number: 6953743
    Abstract: A contact structure is provided incorporating an amorphous titanium nitride barrier layer formed via low-pressure chemical vapor deposition (LPCVD) utilizing tetrakis-dialkylamido-titanium, Ti(NMe2)4, as the precursor. The contact structure is fabricated by etching a contact opening through a dielectric layer down to a diffusion region to which electrical contact is to be made. Titanium metal is deposited over the surface of the wafer so that the exposed surface of the diffusion region is completely covered by a layer of the metal. At least a portion of the titanium metal layer is eventually converted to titanium silicide, thus providing an excellent conductive interface at the surface of the diffusion region. A titanium nitride barrier layer is then deposited using the LPCVD process, coating the walls and floor of the contact opening. Chemical vapor deposition of polycrystalline silicon or of a metal follows.
    Type: Grant
    Filed: August 8, 2003
    Date of Patent: October 11, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Trung T. Doan, Tyler A. Lowrey
  • Patent number: 6953745
    Abstract: A metal interconnection structure includes a lower metal interconnection layer disposed in a first inter-layer dielectric layer. An inter-metal dielectric layer having a via contact hole that exposes a portion of surface of the lower metal layer pattern is disposed on the first inter-layer dielectric layer and the lower metal layer pattern. A second inter-layer dielectric layer having a trench that exposes the via contact hole is formed on the inter-metal dielectric layer. A barrier metal layer is formed on a vertical surface of the via contact and the exposed surface of the second lower metal interconnection layer pattern. A first upper metal interconnection layer pattern is disposed on the barrier metal layer, thereby filling the via contact hole and a portion of the trench. A void diffusion barrier layer is disposed on the first metal interconnection layer pattern and a second upper metal interconnection layer pattern is disposed on the void diffusion barrier layer to completely fill the trench.
    Type: Grant
    Filed: July 15, 2004
    Date of Patent: October 11, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jeong-hoon Ahn, Hyo-jong Lee, Kyung-tae Lee, Kyoung-woo Lee, Soo-geun Lee, Bong-seok Suh
  • Patent number: 6951810
    Abstract: A method for treating a dielectric material using hydrocarbon plasma is described, which allows for thinner films of barrier material to be used to form a robust barrier.
    Type: Grant
    Filed: March 18, 2004
    Date of Patent: October 4, 2005
    Assignee: Intel Corporation
    Inventor: Thomas Joseph Abell
  • Patent number: 6951807
    Abstract: A semiconductor device comprises a semiconductor substrate, an interlayer insulating layer formed above the semiconductor substrate, a first metal interconnection embedded in the interlayer insulating layer with a surface thereof exposed to the same plane as a surface of the interlayer insulating layer, a diffusion preventive layer formed on at least the first metal interconnection to prevent diffusion of a metal included in the first metal interconnection, a nitrogen-doped silicon oxide layer formed on the diffusion preventive layer, a fluorine-doped silicon oxide layer formed on the nitrogen-doped silicon oxide layer, and a second metal interconnection embedded in the fluorine-doped silicon oxide layer with a surface thereof exposed to the same plane as a surface of the fluorine-doped silicon oxide layer, and electrically connected to the first metal interconnection.
    Type: Grant
    Filed: September 24, 2003
    Date of Patent: October 4, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kei Watanabe, Yukio Nishiyama
  • Patent number: 6951812
    Abstract: The structure and the fabrication method of an integrated circuit in the horizontal surface of a semiconductor body comprising a dielectric layer over said semiconductor body and a substantially vertical hole through the dielectric layer, the hole having sidewalls and a bottom. A barrier layer is positioned over the dielectric layer including the sidewalls within the hole and the bottom of the hole; the barrier layer is operable to seal copper. A copper-doped transition layer is positioned over the barrier layer; the transition layer has a resistivity higher than pure copper and is operable to strongly bond to copper and to the barrier layer, whereby electomigration reliability is improved. The remainder of said hole is filled with copper. The hole can be either a trench or a trench and a via.
    Type: Grant
    Filed: December 17, 2003
    Date of Patent: October 4, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Qing-Tang Jiang, Robert Tsu, Kenneth D. Brennan
  • Patent number: 6946391
    Abstract: A method for forming a dual damascene structure in a semiconductor device manufacturing process including providing a process wafer including a via opening extending through at least one dielectric insulating layer; blanket depositing a negative photoresist layer to include filling the via opening; blanket depositing a positive photoresist layer over and contacting the negative photoresist layer; photolithographically patterning the positive photoresist layer to form a trench opening etching pattern overlying and encompassing the via opening; etching back the negative photoresist layer to form a via plug having a predetermined thickness; and, etching a trench opening according to the trench opening etching pattern.
    Type: Grant
    Filed: September 8, 2003
    Date of Patent: September 20, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Wei-Kung Tsai, Po-Yueh Tsai
  • Patent number: 6946383
    Abstract: A recess is formed in an insulating film, and then a conductive film is deposited over the insulating film so as to fill the recess. Thereafter, the conductive film is subjected to a first heat treatment. Subsequently, part of the conductive film located outside the recess is removed, and then the remaining part of the conductive film is subjected to a second heat treatment with the surface thereof exposed.
    Type: Grant
    Filed: May 30, 2003
    Date of Patent: September 20, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Takeshi Harada
  • Patent number: 6946387
    Abstract: A method of manufacturing semiconductor device which comprises the steps of forming an insulating film on an Si substrate provided with a wiring layer, forming a contact hole connected to the wiring layer and a wiring groove in the insulating film, filling the contact hole with an Si film, successively forming an Al film and a Ti film all over the substrate, performing a heat treatment thereby to substitute the Al film for the Ti film, and to allow the Si film to be absorbed by the Ti film, whereby filling the contact hole and wiring groove with the Al film, and removing a Ti/Ti silicide which is consisting of Ti silicide formed through the absorption of the Si film by the Ti film and a superfluous Ti, whereby filling the contact hole with an Al plug and filling the wiring groove with an Al wiring.
    Type: Grant
    Filed: August 29, 2003
    Date of Patent: September 20, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Junichi Wada, Atsuko Sakata, Tomio Katata, Takamasa Usui, Masahiko Hasunuma, Hideki Shibata, Hisashi Kaneko, Nobuo Hayasaka, Katsuya Okumura
  • Patent number: 6946381
    Abstract: The present invention relates to a method of forming an insulating film in a semiconductor device. The method includes forming a low dielectric constant insulating film containing a foaming agent on a semiconductor substrate, forming a contact hole or a trench in a low dielectric constant insulating film by means of a dual damascene process, and then making the low dielectric constant insulating film containing the foaming agent a porous low dielectric constant insulating film. It is therefore possible to prevent chemicals used in a dual damascene process from remaining in pores of the porous low dielectric constant insulating film. Consequently, the present invention has advantages that it can prevent metal wirings formed in a contact hole or a trench from being eroded and enhance reliability of the process and electrical properties of the device.
    Type: Grant
    Filed: December 10, 2003
    Date of Patent: September 20, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sung Bo Hwang
  • Patent number: 6940170
    Abstract: The present invention provides integrated circuit fabrication methods and devices wherein triple damascene structures are formed in five consecutive dielectric layers (312, 314, 316, 318 and 320), using two etching sequences. A first etching sequence comprising: depositing a first etch mask layer (322), on the fifth (top) layer (320), developing a power line trench pattern (324) and a via pattern (326) in the first mask layer, simultaneously etching the power line trench pattern (324) and the via pattern (326) through the top three dielectric layers (316, 318, 320), and removing the first etch mask layer.
    Type: Grant
    Filed: April 26, 2001
    Date of Patent: September 6, 2005
    Assignee: Applied Materials, Inc.
    Inventor: Suketu A. Parikh
  • Patent number: RE38914
    Abstract: A method for forming a via through a dielectric layer within a microelectronics fabrication. There is first provided a substrate having a contact region formed therein. There is then formed upon the substrate and covering the contact region a blanket first dielectric layer formed of a first dielectric material which is not susceptible to etching with an oxygen containing plasma. There is then formed upon the blanket first dielectric layer a blanket second dielectric layer formed of a second dielectric material which is susceptible to etching within the oxygen containing plasma. There is then formed upon the blanket second dielectric layer a blanket hard mask layer formed from a hard mask material which is not susceptible to etching within the oxygen containing plasma.
    Type: Grant
    Filed: December 26, 2002
    Date of Patent: December 6, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Syun-Ming Jang, Chen-Hua Yu