Having Refractory Group Metal (i.e., Titanium (ti), Zirconium (zr), Hafnium (hf), Vanadium (v), Niobium (nb), Tantalum (ta), Chromium (cr), Molybdenum (mo), Tungsten (w), Or Alloy Thereof) Patents (Class 438/648)
  • Patent number: 7473636
    Abstract: In the back end of an integrated circuit employing dual-damascene interconnects, the interconnect members have a first non-conformal liner that has a thicker portion at the top of the trench level of the interconnect; and a conformal second liner that combines with the first liner to block diffusion of the metal fill material.
    Type: Grant
    Filed: January 12, 2006
    Date of Patent: January 6, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kaushik Chanda, James J. Demarest, Ronald G. Filippi, Roy C. Iggulden, Edward W. Kiewra, Vincent J. McGahay, Ping-Chuan Wang, Yun-Yu Wang
  • Publication number: 20080308942
    Abstract: Semiconductor wiring structures including a dielectric layer having a metal wiring line therein, a via extending downwardly from the metal wiring line, a metal cap layer over the metal wiring line, and a local dielectric cap positioned within a portion of the metal cap layer and in contact with the metal wiring line and a related method are disclosed. The local dielectric cap represents an intentionally created weak point in the metal wiring line of a dual-damascene interconnect, which induces electromigration (EM) voiding in the line, rather than at the bottom of a via extending downwardly from the metal wiring line. Since the critical void size in line fails, especially with metal cap layer (liner) redundancy, is much larger than that in via fails, the EM lifetime can be significantly increased.
    Type: Application
    Filed: June 12, 2007
    Publication date: December 18, 2008
    Applicant: International Business Machines Corporation
    Inventors: Kaushik Chanda, Ronald G. Filippi, Ping-Chuan Wang, Chih-Chao Yang
  • Publication number: 20080305629
    Abstract: In one embodiment, a method for forming a tungsten barrier material on a substrate is provided which includes depositing a tungsten layer on a substrate during a vapor deposition process and exposing the substrate sequentially to a tungsten precursor and a nitrogen precursor to form a tungsten nitride layer on the tungsten layer. Some examples provide that the tungsten layer may be deposited by sequentially exposing the substrate to the tungsten precursor and a reducing gas (e.g., diborane or silane) during an atomic layer deposition process. The tungsten layer may have a thickness of about 50 ? or less and tungsten nitride layer may have an electrical resistivity of about 380 ??-cm or less. Other examples provide that a tungsten bulk layer may be deposited on the tungsten nitride layer by a chemical vapor deposition process.
    Type: Application
    Filed: August 20, 2008
    Publication date: December 11, 2008
    Inventors: Shulin Wang, Ulrich Kroemer, Lee Luo, Aihua Chen, Ming Li
  • Patent number: 7462559
    Abstract: A method of forming (and an apparatus for forming) a metal containing layer on a substrate, particularly a semiconductor substrate or substrate assembly for use in manufacturing a semiconductor or memory device structure, using one or more homoleptic and/or heteroleptic precursor compounds that include, for example, guanidinate, phosphoguanidinate, isoureate, thioisoureate, and/or selenoisoureate ligands using a vapor deposition process is provided.
    Type: Grant
    Filed: November 21, 2007
    Date of Patent: December 9, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Dan B. Millward
  • Patent number: 7452811
    Abstract: In a method for forming a wiring of a semiconductor device using an atomic layer deposition, an insulating interlayer is formed on a substrate. Tantalum amine derivatives represented by a chemical formula Ta(NR1)(NR2R3)3 in which R1, R2 and R3 represent H or C1-C6 alkyl group are introduced onto the insulating interlayer. A portion of the tantalum amine derivatives is chemisorbed on the insulating interlayer. The rest of tantalum amine derivatives non-chemisorbed on the insulating interlayer is removed from the insulating interlayer. A reacting gas is introduced onto the insulating interlayer. A ligand in the tantalum amine derivatives chemisorbed on the insulating interlayer is removed from the tantalum amine derivatives by a chemical reaction between the reacting gas and the ligand to form a solid material including tantalum nitride. The solid material is accumulated on the insulating interlayer through repeating the above processes to form a wiring.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: November 18, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyung-In Choi, Sang-Bom Kang, Seong-Geon Park, You-Kyoung Lee, Gil-Heyun Choi, Jong-Myeong Lee, Sang-Woo Lee
  • Publication number: 20080273410
    Abstract: Methods, devices, and systems for using and forming tungsten digitlines have been described. The tungsten digitlines formed according to embodiments of the present disclosure can be formed with a tungsten (W) monolayer on a tungsten nitride (WNx) substrate, a boron (B) monolayer on the W monolayer, and a bulk W layer on the B monolayer.
    Type: Application
    Filed: May 4, 2007
    Publication date: November 6, 2008
    Inventor: Jaydeb Goswami
  • Patent number: 7446056
    Abstract: The present invention relates to a method for increasing the grain size of a polysilicon layer, which includes exposing a silicon oxide wafer in a deposition chamber to an amount, effective for the purpose, of nitrogen at a flow rate of at least about 240 standard liters per minute (slm). The instant invention further relates to a method for inhibiting the formation of a polysilicon seed in a furnace, which includes the treatment as noted above. The invention also relates to a method for forming a polysilicon layer, including: forming a silicon oxide layer on a substrate, the silicon oxide layer having a plurality of oxygen molecules therein; exposing the silicon oxide layer to a predetermined amount of nitrogen-containing gas in a furnace, whereby a plurality of nitrogen molecules in the nitrogen-containing gas replaces at least part of the oxygen molecules in the silicon oxide layer; and forming a polysilicon layer on the silicon oxide layer.
    Type: Grant
    Filed: December 1, 2005
    Date of Patent: November 4, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yao-Hui Huang, Tung-Li Lee, Chih-Hao Lin, Yen-Fei Lin, James Sun, Chen Pu-Fang, David Huang
  • Patent number: 7435679
    Abstract: Apparatus and methods of fabricating a microelectronic interconnect having an underlayer which acts as both a barrier layer and a seed layer. The underlayer is formed by co-depositing a noble metal and a barrier material, such as a refractory metal, or formed during thermal post-treatment, such as thermal annealing, conducted after two separately depositing the noble metal and the barrier material, which are substantially soluble in one another. The use of a barrier material within the underlayer prevents the electromigration of the interconnect conductive material and the use of noble material within the underlayer allows for the direct plating of the interconnect conductive material.
    Type: Grant
    Filed: December 7, 2004
    Date of Patent: October 14, 2008
    Assignee: Intel Corporation
    Inventors: Steven W. Johnston, Juan E. Dominguez
  • Patent number: 7435670
    Abstract: The present invention relates to a bit line barrier metal layer for a semiconductor device and a process for preparing the same, the process comprising: forming bit line contact on an insulation layer vapor-deposited on an upper part of a substrate so as to expose an ion implantation region; vapor-depositing a first barrier metal layer of a Ti film on the entire upper surface thereof; and vapor-depositing, on the upper part of the Ti film, a second barrier metal layer of a ZrB2 film having different upper and lower Boron concentrations, by RPECVD controlling the presence/absence of H2 plasma, wherein the barrier metal layer includes the Ti film, lower ZrB2 film and upper a ZrB2 film sequentially stacked between tungsten bit lines and ion implantation region of a semiconductor substrate.
    Type: Grant
    Filed: August 21, 2007
    Date of Patent: October 14, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Byung Soo Eun
  • Patent number: 7435673
    Abstract: Methods of forming metal interconnect structures include forming a first electrically insulating layer on a semiconductor substrate and forming a second electrically insulating layer on the first electrically insulating layer. The second and first electrically insulating layers are selectively etched in sequence to define a contact hole therein. A first metal layer (e.g., tungsten) is deposited. This first metal layer extends on the second electrically insulating layer and into the contact hole. The first metal layer is then patterned to expose the second electrically insulating layer. The second electrically insulating layer is selectively etched for a sufficient duration to expose the first electrically insulating layer and expose a metal plug within the contact hole. This selective etching step is performed using the patterned first metal layer as an etching mask. A seam within the exposed metal plug is then filled with an electrically conductive filler material (e.g., CoWP).
    Type: Grant
    Filed: September 28, 2005
    Date of Patent: October 14, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyoung Woo Lee, Ja-Hum Ku, Duk Ho Hong, Wan Jae Park
  • Patent number: 7425503
    Abstract: An apparatus and method for an enhanced thermally conductive package for high powered semiconductor devices. The package includes a semiconductor die having an active surface and a non-active surface and a metal layer formed on the non-active surface of the die. The package is intended to be mounted onto a metal pad provided on a printed circuit board. A solder is used to affix the metal layer on the non-active surface of the die to the metal pad of the printed circuit board. The interface between the die and the printed circuit board thus includes just three metal layers, including the non-active surface of the die, the solder, and the metal pad on the printed circuit board. The reduced number of metal layers improves heat dissipation and thermal conductivity of the package.
    Type: Grant
    Filed: July 14, 2006
    Date of Patent: September 16, 2008
    Assignee: National Semiconductor Corporation
    Inventor: Felix C. Li
  • Publication number: 20080217775
    Abstract: A method of forming a contact plug of an eDRAM device includes the following steps: forming a tungsten layer with tungsten seam on a dielectric layer to fill a contact hole; removing the tungsten layer from the top surface of the dielectric layer, recessing the tungsten layer in the contact hole to form a recess of about 600˜900 Angstroms in depth below the top surface of the dielectric layer, depositing a conductive layer on the dielectric layer and the recessed tungsten plug to fill the recess; and removing the conductive layer from the top surface of the dielectric layer to form a conductive plug on the recessed tungsten plug in the contact hole.
    Type: Application
    Filed: March 7, 2007
    Publication date: September 11, 2008
    Inventors: Chih-Yang Pai, Wen-Chuan Chiang, Chung-Yi Yu, Yeur-Luen Tu, Yuan-Hung Liu, Hsiang-Fan Lee, Chuan-Jong Wang
  • Patent number: 7416980
    Abstract: Methods of forming a microelectronic structure are described. Embodiments of those methods include forming a barrier layer on a substrate, wherein the barrier layer comprises molybdenum; and forming a lead free interconnect structure on the barrier layer.
    Type: Grant
    Filed: March 11, 2005
    Date of Patent: August 26, 2008
    Assignee: Intel Corporation
    Inventors: Ting Zhong, Valery Dubin, Ming Fang
  • Patent number: 7416974
    Abstract: A method of manufacturing a semiconductor device, comprising a first step of forming a layer insulation film on a lower layer wiring provided on a substrate and forming a connection hole in the layer insulation film, a second step of forming an alloy layer composed of a first metallic material constituting the lower layer wiring and a second metallic material different from the first metallic material, on the surface side of the lower layer wiring in the region to be a bottom portion of the connection hole, a third step of sputter-etching the alloy layer, and a fourth step of forming a via in the connection hole in the state of reaching the lower layer wiring; and the semiconductor device.
    Type: Grant
    Filed: November 22, 2005
    Date of Patent: August 26, 2008
    Assignee: Sony Corporation
    Inventor: Shinichi Arakawa
  • Publication number: 20080188076
    Abstract: A trench is formed in an interlayer dielectric formed on a substrate, then a barrier seed film is formed to cover the interlayer dielectric and the inner walls of the trench, and copper is embedded in the trench by electrolytic plating using the barrier seed film as an electrode. The barrier seed film is a single-layer film made of an oxide or nitride of a refractory metal and contains a low-resistance metal other than copper.
    Type: Application
    Filed: September 10, 2007
    Publication date: August 7, 2008
    Inventors: Yasunori Morinaga, Hideo Nakagawa
  • Patent number: 7407876
    Abstract: A method for processing a substrate for forming TaC and TaCN films having good adhesion to Cu. The method includes disposing the substrate in a process chamber of a plasma enhanced atomic layer deposition (PEALD) system configured to perform a PEALD process, and depositing a TaC or TaCN film on the substrate using the PEALD process. The PEALD process includes (a) exposing the substrate to a first process material containing tantalum, (b) exposing the substrate to a second process material containing a plasma excited reducing agent, (c) repeating steps (a) (b) a predetermined number of times, (d) exposing the substrate to plasma excited Argon, and (e) repeating steps (c) and (d) until the TaC or TaCN film has a desired thickness. Preferably, purging of the process chamber is performed after one or more of the exposing steps.
    Type: Grant
    Filed: March 20, 2006
    Date of Patent: August 5, 2008
    Assignee: Tokyo Electron Limited
    Inventor: Tadahiro Ishizaka
  • Patent number: 7407888
    Abstract: A method of fabricating a semiconductor device comprises the steps of forming a contact hole in an insulation film so as to extend therethrough and so as to expose a conductor body at a bottom part of the contact hole, forming a barrier metal film of tungsten nitride on the bottom part and a sidewall surface of the contact hole with a conformal shape to the bottom part and the sidewall surface of the contact hole, forming a tungsten layer so as to fill the contact hole via the barrier metal film, and forming a tungsten plug in the contact hole by the tungsten layer by polishing away a part of the tungsten film on the insulation film until a surface of the insulation film is exposed, wherein there is conducted a step of cleaning a surface of the conductor body prior to the forming step of the barrier metal film.
    Type: Grant
    Filed: February 17, 2006
    Date of Patent: August 5, 2008
    Assignee: Fujitsu Limited
    Inventors: Takeshi Ito, Satoshi Inagaki, Yasunori Uchino, Kazuo Kawamura
  • Patent number: 7405143
    Abstract: The present invention produces a seed layer for the deposition of copper for metallizing integrated circuits. A diffusion barrier is deposited upon the wafer. In one embodiment of the invention, a metal oxide layer is then formed on the diffusion barrier. The oxidized metal is then reduced to a conductive lower oxidation state or to its elemental form. That metal is then used as the seed layer for the growth of copper. In another embodiment, the surface of the barrier layer is repeatedly oxidized and reduced in order to reduce incubation time for the growth of a seed layer. A ruthenium seed layer is then deposited over the treated barrier layer.
    Type: Grant
    Filed: March 25, 2004
    Date of Patent: July 29, 2008
    Assignee: ASM International N.V.
    Inventors: Miika Leinikka, Juhana J. T. Kostamo
  • Publication number: 20080160753
    Abstract: A method of forming a metal wire in a semiconductor device is disclosed The method includes the steps of etching an insulating layer formed on a semiconductor substrate to form a dual damascene pattern, forming a barrier metal layer in the dual damascene pattern, forming a metal layer on the barrier metal layer, and filling the dual damascene pattern with a conductive material to form a metal wire.
    Type: Application
    Filed: May 10, 2007
    Publication date: July 3, 2008
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Eun Soo Kim, Jung Geun Kim, Suk Joong Kim
  • Publication number: 20080128913
    Abstract: In one embodiment, the disclosure relates to a method for forming a semiconductor power device by depositing a first layer of TiW on a gate region and a source region, depositing a second layer of refractory metal over the first layer of TiW at the gate region, depositing a dielectric stack over the second layer of refractory metal and a portion of the first layer of TiW, depositing an etch stop layer over a portion of the dielectric stack, depositing an interconnect layer over the etch stop layer and the dielectric stack and depositing an etch mask over the interconnect layer.
    Type: Application
    Filed: October 25, 2007
    Publication date: June 5, 2008
    Applicant: Northrop Grumman Systems Corporation
    Inventors: Li-Shu Chen, Philip C. Smith, Steven M. Buchoff, Joel Frederick Rosenbaum, Joel Barry Schneider, Witold J. Malkowski
  • Patent number: 7371680
    Abstract: A method of manufacturing a semiconductor device is achieved by forming an interlayer insulating film on a conductive portion formed in a semiconductor substrate which is placed in a chamber. A contact hole is formed to pass through the interlayer insulating film to the conductive portion, and a barrier metal layer is formed to cover a bottom portion of side wall portion of the contact hole. A tungsten layer is formed from a material gas containing fluorine and the fluorine is removed from the tungsten layer through a post purge process. The tungsten layer is formed to fill the contact hole in which the barrier metal layer has been formed.
    Type: Grant
    Filed: August 26, 2005
    Date of Patent: May 13, 2008
    Assignee: Elpida Memory Inc.
    Inventor: Katsuhiko Tanaka
  • Patent number: 7371667
    Abstract: There are disclosed TFTs that have excellent characteristics and can be fabricated with a high yield. The TFTs are fabricated, using an active layer crystallized by making use of nickel. Gate electrodes are comprising tantalum. Phosphorus is introduced into source/drain regions. Then, a heat treatment is performed to getter nickel element in the active layer and to drive it into the source/drain regions. At the same time, the source/drain regions can be annealed out. The rate electrodes of tantalum can withstand this heat treatment.
    Type: Grant
    Filed: September 14, 2006
    Date of Patent: May 13, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 7371679
    Abstract: A method of forming a metal line in a semiconductor device including forming an inter-metal dielectric (IMD) layer on the semiconductor substrate including the predetermined pattern, planarizing the IMD layer through a first CMP process, and patterning a via hole on the planarized substrate. The method further includes depositing a barrier metal layer in the via hole, filling a refractory metal in an upper part of the barrier metal layer, planarizing the substrate filled with the refractory metal by performing a second CMP process, forming a refractory metal oxide layer by oxidizing a residual refractory metal region created by the second CMP process, and forming a refractory metal plug by removing the refractory metal oxide layer through a third CMP process.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: May 13, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sung-Ho Jang
  • Patent number: 7365430
    Abstract: Disclosed herein is a semiconductor device and method of manufacturing the same. A step between a memory cell formed in a cell region and a transistor formed in a peripheral circuit region is minimized, and the height of a gate in the memory cell is minimized. Accordingly, subsequent processes are facilitated and the electrical property of the device is thus improved.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: April 29, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Cheol Mo Jeong
  • Publication number: 20080090411
    Abstract: A method of manufacturing a semiconductor device is disclosed. The method includes the steps of forming a first insulating film having a contact plug on an upper portion of a semiconductor substrate; forming a second insulating film on an upper portion of the first insulating film and the contact plug; etching the second insulating film formed on the upper portion of the contact plug to expose the upper portion of the contact plug; and, forming a glue film and a metal film on the upper portion of the resulting surface on the semiconductor substrate including the metal wiring contact hole.
    Type: Application
    Filed: May 9, 2007
    Publication date: April 17, 2008
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Jik Ho Cho, Tae Kyung Kim
  • Patent number: 7358170
    Abstract: The invention includes methods of electroless plating of nickel selectively on exposed conductive surfaces relative to exposed insulative surfaces. The electroless plating can utilize a bath which contains triethanolamine, maleic anhydride and at least one nickel salt.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: April 15, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Chandra Tiwari
  • Patent number: 7354853
    Abstract: The invention describes a method for the selective dry etching of tantalum and tantalum nitride films. Tantalum nitride layers (30) are often used in semiconductor manufacturing. The semiconductor substrate is exposed to a reducing plasma chemistry which passivates any exposed copper (40). The tantalum or tantalum nitride films are selectively removed using an oxidizing plasma chemistry.
    Type: Grant
    Filed: July 12, 2005
    Date of Patent: April 8, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Mona M. Eissa, Troy A. Yocum
  • Patent number: 7344974
    Abstract: A method for forming a metallization contact in a semiconductor device includes the steps of: (a) forming an insulating layer on a semiconductor substrate including an active device region; (b) forming a contact hole to expose a portion of the active device region by etching a portion of the insulating layer; (c) forming a CVD TiN layer on the insulating layer and inside the contact hole; (d) forming a PVD TiN layer on the CVD TiN layer using ionized metal plasma sputtering; and (e) forming a metal layer on the PVD TiN layer.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: March 18, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jung Joo Kim
  • Patent number: 7316783
    Abstract: A method of wiring formation includes forming a feeder film partially on a substrate, forming on the substrate a plating base film via a physical film making method so that the plate base film partially overlaps the feeder film, forming a plated wiring on the plating base film using an electrolytic plating, and selectively removing at least an area of the feeder film which is exposed from the plated wiring, using a wet etching process.
    Type: Grant
    Filed: July 7, 2004
    Date of Patent: January 8, 2008
    Assignee: Murata Manufacturing Co., Ltd.
    Inventors: Yoshiyuki Tonami, Yoshihiro Koshido
  • Patent number: 7312127
    Abstract: The present invention provides a method of forming a high-k dielectric layer on a semiconductor wafer. A metal silicate dielectric layer is initially deposited on the wafer. A dopant having dissociable oxygen is introduced into the metal silicate on the wafer. According to one embodiment the metal silicate comprises a group IV metal and the dopant is an oxide of one of an alkaline metal and an alkaline earth metal. According to another embodiment the metal silicate comprises a group III metal.
    Type: Grant
    Filed: March 23, 2006
    Date of Patent: December 25, 2007
    Assignee: LSI Corporation
    Inventors: Wai Lo, Verne Hornback, Wilbur G. Catabay, Wei-Jen Hsia, Sey-Shing Sun
  • Patent number: 7307018
    Abstract: A method of forming a conductive line suitable for decreasing a sheet resistance of the conductive lines. The method comprises steps of providing a material layer having a conductive layer formed thereon and forming a patterned mask layer on the conductive layer. In addition, a portion of the conductive layer is removed by using the patterned mask layer as a mask and a spacer is formed on a sidewall of the patterned mask layer and the conductive layer. A portion of the conductive layer is removed until the material layer is exposed to form a conductive line, wherein the spacer and the patterned mask layer serve as a mask.
    Type: Grant
    Filed: September 27, 2005
    Date of Patent: December 11, 2007
    Assignee: Macronix International Co., Ltd.
    Inventors: Jui-Pin Chang, Chien-Hung Liu, Ying-Tso Chen, Shou-Wei Huang
  • Patent number: 7303988
    Abstract: Methods of forming a multi-level metal line of a semiconductor device are disclosed. One example method includes subsequently stacking first and second metal layers, wherein a conductive etching stopper layer is interposed at an interface between the first and second metal layers; forming first and second metal layer pattern by patterning the first metal layer, the etching stopper layer, and the second metal layer, wherein the first metal layer pattern is formed as a lower metal line; forming a connection contact in form of a plug by selectively etching the second metal layer pattern until the etching stopper layer is exposed; forming an interlayer insulating layer to cover the connection contact and the first metal layer pattern; and exposing an upper surface of the connection contact by planarizing the interlayer insulating layer.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: December 4, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sang Chul Shim
  • Publication number: 20070275558
    Abstract: The present invention relates to a method for manufacturing a semiconductor device, comprising: forming a metal interconnect on a substrate; forming a refractory metal layer containing titanium (Ti) or tantalum (Ta) on a surface of the metal interconnect; forming an insulating interlayer so as to cover the refractory metal layer; selectively etching the insulating interlayer with an etchant gas containing an organic fluoride to form a hole, in which the refractory metal layer is exposed; treating an interior of the hole with an organic chemical solution to remove fluorinated compounds of Ti or Ta while leaving fluorocarbons on the surface of the refractory metal layer, the fluorinated compounds of Ti or Ta and the fluorocarbons being created during the etching step and present in the interior of the hole; and performing plasma-treatment for the interior of said hole to remove the fluorocarbon.
    Type: Application
    Filed: May 25, 2007
    Publication date: November 29, 2007
    Applicant: NEC ELECTRONICS CORPOATION
    Inventor: Kousei Ushijima
  • Patent number: 7300873
    Abstract: A method of forming (and an apparatus for forming) a metal containing layer on a substrate, particularly a semiconductor substrate or substrate assembly for use in manufacturing a semiconductor or memory device structure, using one or more homoleptic and/or heteroleptic precursor compounds that include, for example, guanidinate, phosphoguanidinate, isoureate, thioisoureate, and/or selenoisoureate ligands using a vapor deposition process is provided.
    Type: Grant
    Filed: August 13, 2004
    Date of Patent: November 27, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Dan B. Millward
  • Patent number: 7300870
    Abstract: A method of forming (and apparatus for forming) refractory metal nitride layers (including silicon nitride layers), such as a tantalum nitride barrier layer, on a substrate by using an atomic layer deposition process (a vapor deposition process that includes a plurality of deposition cycles) with a refractory metal precursor compound, an organic amine, and an optional silicon precursor compound.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: November 27, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Brian A. Vaartstra
  • Patent number: 7300869
    Abstract: An integrated barrier and seed layer that is useful for creating conductive pathways in semiconductor devices. The barrier portion of the integrated layer prevents diffusion of the conductive material into the underlying dielectric substrate while the seed portion provides an appropriate foundation upon which to deposit the conductive material. The barrier portion of the integrated layer is formed of a metal nitride, while the seed portion is formed of ruthenium or a ruthenium alloy. The metal nitride forms an effective barrier layer while the ruthenium or ruthenium alloy forms an effective seed layer for a metal such as copper. In some embodiments, the integrated layer is formed in a way so that its composition changes gradually from one region to the next.
    Type: Grant
    Filed: September 20, 2004
    Date of Patent: November 27, 2007
    Assignee: LSI Corporation
    Inventors: Sey-Shing Sun, Byung-Sung L. Kwak, Peter A. Burke
  • Patent number: 7300887
    Abstract: Methods of forming metal nitride layers on a substrate include reacting a metal source gas with a nitrogen source gas in a process chamber to form a metal nitride layer on the substrate. The process chamber may have an atmosphere having a pressure of about 0.1 mTorr to about 5 mTorr and a temperature of about 200° C. to about 450° C. A ratio of the flow rate of the metal source gas to the flow rate of the nitrogen source gas may be “1” or more. An interlayer insulating layer may be formed on the semiconductor substrate prior to formation of the metal nitride layer.
    Type: Grant
    Filed: September 15, 2005
    Date of Patent: November 27, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Hwa Park, Kwang-Jin Moon, Gil-Heyun Choi, Sang-Woo Lee, Jeong-Tae Kim, Jang-Hee Lee
  • Patent number: 7297630
    Abstract: A method of fabricating a via and a trench is disclosed. A disclosed method comprises: forming a via hole and a trench in a interlayer dielectric layer on a semiconductor substrate where a predetermined device is formed; depositing a thin Hf layer on the substrate; performing a thermal treatment of the substrate to getter oxygen and forming a barrier layer; and filling copper into the via hole and the trench.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: November 20, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jung Joo Kim
  • Patent number: 7297623
    Abstract: In accordance with one embodiment of the present invention, a method of interfacing a poly-metal structure and a semiconductor substrate is provided where an etch stop layer is provided in a polysilicon region of the structure. The present invention also addresses the relative location of the etch stop layer in the polysilicon region and a variety of structure materials and oxidation methods.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: November 20, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Kishnu K. Agarwal
  • Patent number: 7294565
    Abstract: A method for sealing an exposed surface of a wire bond pad with a material that is capable of preventing a possible chemical attack during electroless deposition of Ni/Au pad metallurgy is provided. Specifically, the present invention provides a method whereby a TiN/Ti or TiN/Al cap is used as a protective coating covering exposed surfaces of a wire bond pad. The TiN/Ti or TiN/Al cap is not affected by alkaline chemistries used in forming the Ni/Au metallization, yet it provides a sufficient electrical pathway connecting the bond pads to the Ni/Au pad metallization.
    Type: Grant
    Filed: October 1, 2003
    Date of Patent: November 13, 2007
    Assignee: International Business Machines Corporation
    Inventors: Lloyd G. Burrell, Charles R. Davis, Ronald D. Goldblatt, William F. Landers, Sanjay C. Mehta
  • Patent number: 7294241
    Abstract: A method of sputtering a Ta layer comprised of alpha phase Ta on a Cu layer. An embodiment includes a Ta sputter deposition on a Cu surface at a substrate temperature less than 200° C. Another embodiment has a pre-cooling step at a temperature less than 100° C. prior to Ta layer sputter deposition. In another non-limiting example embodiment, a pre-clean step comprising an inert gas sputter is performed prior to the tantalum sputter. Another non-limiting example embodiment provides a semiconductor structure comprising: a semiconductor structure; a copper layer over the semiconductor structure; a tantalum layer on the copper layer; the tantalum layer comprised alpha phase Ta; a metal layer on the tantalum layer.
    Type: Grant
    Filed: January 3, 2003
    Date of Patent: November 13, 2007
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Chim Seng Seet, Bei Chao Zhang, San Leong Liew, John Sudijono, Lai Lin Clare Yong
  • Patent number: 7285491
    Abstract: A salicide process is provided. A metal layer selected from a group consisting of nickel and an alloy thereof is formed on a silicon layer, the first step of the second thermal process is performed at 300˜400 degrees centigrade for 10˜60 seconds and the second step of the second thermal process is performed at 450˜550 degrees centigrade for 10˜60 seconds.
    Type: Grant
    Filed: October 27, 2006
    Date of Patent: October 23, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Min-Hsian Chen, Ching-Hsing Hsieh
  • Patent number: 7279414
    Abstract: The present invention relates to the formation of an ILD layer while preventing or reducing oxidation of the upper surface of a metallic interconnect. Avoidance of oxidation of the upper surface of a metallic interconnect is achieved according to the present invention by passivating the exposed upper surface of the metallic interconnect prior to formation of the ILD. In order to avoid the oxidation of an upper surface of an interconnect during the formation of an ILD layer, an in situ passivation of the upper surface of the interconnect, immediately prior to or simultaneously with the formation of the ILD layer avoids the problems of the prior art.
    Type: Grant
    Filed: April 16, 1999
    Date of Patent: October 9, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Zhiping Yin, Mark Jost
  • Patent number: 7279416
    Abstract: A conductive structure is formed in an integrated circuit device by forming a lower conductive pattern on a substrate. A barrier metal layer is formed on the lower conductive pattern. The barrier metal layer is flushed with a gas that includes a halogen group gas and an upper conductive layer is formed on the barrier metal layer.
    Type: Grant
    Filed: March 9, 2004
    Date of Patent: October 9, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-hun Seo, Gil-Heyun Choi, Jong-Myeong Lee, Hee-sook Park
  • Patent number: 7279231
    Abstract: The present invention relates to a cobalt electroless plating bath composition. In one embodiment, the present invention relates to cobalt electroless plating in the fabrication of interconnect structures in semiconductor devices.
    Type: Grant
    Filed: August 26, 2003
    Date of Patent: October 9, 2007
    Assignee: Intel Corporation
    Inventors: Ramanan V. Chebiam, Valery M. Dubin
  • Patent number: 7273814
    Abstract: A method for forming a ruthenium metal layer includes providing a patterned substrate in a process chamber of a deposition system, where the patterned substrate contains one or more vias or trenches, or combinations thereof, depositing a first ruthenium metal layer on the substrate in an atomic layer deposition process, and depositing a second ruthenium metal layer on the first ruthenium metal layer in a thermal chemical vapor deposition process. The deposited ruthenium metal layer can be used as a diffusion barrier layer, a seed layer for electroplating, or both.
    Type: Grant
    Filed: March 16, 2005
    Date of Patent: September 25, 2007
    Assignee: Tokyo Electron Limited
    Inventor: Tsukasa Matsuda
  • Publication number: 20070202692
    Abstract: A method for forming silicide includes the steps of: forming a nickel film on a silicon layer (or a silicon substrate); introducing nitrogen into at least one of the nickel film and the interface between the nickel film and the silicon layer (or the silicon substrate); and after the introduction of the nitrogen, applying heat treatment to the nickel film and the silicon layer (or the silicon substrate) under predetermined conditions to form a nickel disilicide layer.
    Type: Application
    Filed: February 21, 2007
    Publication date: August 30, 2007
    Applicants: SEIKO EPSON CORPORATION, RENESAS TECHNOLOGY CORPORATION
    Inventors: Yukimune Watanabe, Nobuyuki Mise, Shinji Migita
  • Patent number: 7262125
    Abstract: Methods and apparatus for preparing a low-resistivity tungsten film on a substrate are provided. Methods involve the formation of a tungsten nucleation layer on a substrate using pulsed nucleation layer (PNL) techniques and depositing a bulk tungsten layer thereon. Methods for forming the tungsten nucleation layer involve the use of a boron-containing species, a tungsten-containing precursor, and optionally, a silane. The methods described are particularly useful for applications where thin, low resistivity films are desired, such as interconnect applications.
    Type: Grant
    Filed: March 31, 2004
    Date of Patent: August 28, 2007
    Assignee: Novellus Systems, Inc.
    Inventors: Panya Wongsenakhum, Aaron R. Fellis, Kaihan A. Ashtiani, Karl B. Levy, Juwen Gao, Joshua Collins, Junghwan Sung, Lana Hiului Chan
  • Patent number: 7256089
    Abstract: An improvement in the method of fabricating on chip decoupling capacitors which help prevent L di/dt voltage droop on the power grid for high surge current conditions is disclosed. The inclusion of a hybrid metal/metal nitride top electrode/barrier provides for a low cost and higher performance option to strapping decoupling capacitors.
    Type: Grant
    Filed: September 24, 2001
    Date of Patent: August 14, 2007
    Assignee: Intel Corporation
    Inventors: Richard Scott List, Bruce A. Block, Ruitao Zhang
  • Patent number: 7253092
    Abstract: Disclosed herein is a method of making integrated circuits. In one embodiment the method includes forming tungsten plugs in the integrated circuit and forming electrically conductive interconnect lines in the integrated circuit after formation of the tungsten plugs. At least one tungsten plug is electrically connected to at least one electrically conductive interconnect line. Thereafter at least one electrically conductive interconnect line is contacted with water for a period of time less than 120 minutes.
    Type: Grant
    Filed: June 24, 2003
    Date of Patent: August 7, 2007
    Assignee: NEC Electronics America, Inc.
    Inventors: Elizabeth A. Dauch, John W. Jacobs