At Least One Layer Forms A Diffusion Barrier Patents (Class 438/653)
  • Patent number: 8772936
    Abstract: A semiconductor device with a copper line comprises a lower portion of a copper pattern buried in an interlayer insulating film, an upper portion of the copper disposed over the upper portion of the lower copper pattern, and an upper barrier metal layer disposed over upper and side surfaces of the upper copper pattern. As a result, the copper pattern is protected by the barrier metal layers, providing a metal line with a stable structure.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: July 8, 2014
    Assignee: SK Hynix Inc.
    Inventor: Hyung Jin Park
  • Patent number: 8772158
    Abstract: The present disclosure is generally directed to multi-layer barrier layer stacks for interconnect structures that may be used to reduce mechanical stress levels between the interconnect structure and a dielectric material layer in which the interconnect structure is formed. One illustrative method disclosed herein includes forming a recess in a dielectric layer of a substrate and forming an adhesion barrier layer including an alloy of tantalum and at least one transition metal other than tantalum to line the recess, wherein forming the adhesion barrier layer includes creating a first stress level across a first interface between the adhesion barrier layer and the dielectric layer. The method also includes forming a stress-reducing barrier layer including tantalum over the adhesion barrier layer, wherein the stress-reducing barrier layer reduces the first stress level to a second stress level less than the first stress level, and filling the recess with a fill layer.
    Type: Grant
    Filed: February 19, 2013
    Date of Patent: July 8, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Vivian W. Ryan, Xunyuan Zhang, Paul R. Besser
  • Patent number: 8772157
    Abstract: The present invention provides a method of forming Cu interconnects. The method comprises depositing an etch stop layer and an insulating layer subsequently; forming vias and trenches in the insulating layer; depositing a diffusion barrier layer and a copper seed layer using PVD; applying electroplating process to form the copper interconnects; depositing a layer of filling materials and reflowing the filling materials to eliminate the uneven surface topography of the copper interconnection layer; and applying annealing and CMP to planarize the top surface of the copper interconnects, and rinsing. According to the method of forming Cu interconnects, the uneven surface topography after electroplating can be reduced, and the surface topography after CMP can be planarized.
    Type: Grant
    Filed: November 2, 2012
    Date of Patent: July 8, 2014
    Assignee: Shanghai Huali Microelectronics Corporation
    Inventor: Jingxun Fang
  • Publication number: 20140183557
    Abstract: A semiconductor device structure for an ohmic contact is provided, including a silicon carbide substrate and an ohmic contact layer disposed on the silicon carbide substrate. A carbon layer is disposed on the ohmic contact layer. An anti-diffusion layer is disposed on the carbon layer, and a pad layer is disposed on the anti-diffusion layer. The anti-diffusion layer is made of any one of tungsten (W), titanium (Ti), titanium nitride (TiN), tantalum (Ta), and tantalum nitride (TaN).
    Type: Application
    Filed: November 27, 2013
    Publication date: July 3, 2014
    Applicant: HYUNDAI MOTOR COMPANY
    Inventors: Su Bin KANG, Kyoung-Kook HONG, Jong Seok LEE, Youngkyun JUNG
  • Publication number: 20140183740
    Abstract: Methods of exposing conductive vias of semiconductor devices may comprise conformally forming a barrier material over conductive vias extending from a backside surface of a substrate. A self-planarizing isolation material may be formed over the barrier material. An exposed surface of the self-planarizing isolation material may be substantially planar. A portion of the self-planarizing isolation material, a portion of the barrier material, and a portion of protruding material of the conductive vias may be removed to expose the conductive vias. Removal of the self-planarizing isolation material, the barrier material, and the conductive vias may be stopped after exposing at least one laterally extending portion of the barrier material.
    Type: Application
    Filed: January 3, 2013
    Publication date: July 3, 2014
    Applicant: Micron Technology, Inc.
    Inventors: Hongqi Li, Anurag Jindal, Irina Vasilyeva
  • Publication number: 20140183743
    Abstract: A manganese metal film forming method includes: degassing an underlying layer formed on a processing target by thermally treating the processing target, the underlying layer containing silicon and oxygen; and forming a manganese metal film on the degassed underlying layer by chemical deposition using a gas containing a manganese compound. Forming a manganese metal film includes introducing a gas containing an oxidizing agent to form a partially-oxidized manganese metal film.
    Type: Application
    Filed: December 26, 2013
    Publication date: July 3, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kenji MATSUMOTO, Peng CHANG
  • Publication number: 20140187038
    Abstract: Embodiments of the invention provide an improved process for depositing tungsten-containing materials. In one embodiment, the method for forming a tungsten-containing material on a substrate includes forming an adhesion layer containing titanium nitride on a dielectric layer disposed on a substrate, forming a tungsten nitride intermediate layer on the adhesion layer, wherein the tungsten nitride intermediate layer contains tungsten nitride and carbon. The method further includes forming a tungsten barrier layer (e.g., tungsten or tungsten-carbon material) from the tungsten nitride intermediate layer by thermal decomposition during a thermal annealing process (e.g., temperature from about 700° C. to less than 1,000° C.).
    Type: Application
    Filed: December 31, 2013
    Publication date: July 3, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Joshua COLLINS, Murali K. NARASIMHAN, Jingjing LIU, Sang-Hyeob LEE, Kai WU, Avgerinos V. GELATOS
  • Publication number: 20140183742
    Abstract: A manganese-containing film forming method for forming a manganese-containing film on an underlying layer containing silicon and oxygen includes: degassing the underlying layer formed on a processing target by thermally treating the processing target, the underlying layer containing silicon and oxygen; and forming a manganese metal film on the degassed underlying layer by chemical deposition using a gas containing a manganese compound. Forming a manganese metal film includes: setting a film formation temperature to be higher than a degassing temperature; introducing a reducing reaction gas; and forming a manganese-containing film including an interfacial layer formed in an interface with the underlying layer and a manganese metal film formed on the interfacial layer, the interfacial layer being made up of a film of at least one of a manganese silicate and a manganese oxide.
    Type: Application
    Filed: December 23, 2013
    Publication date: July 3, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Kenji MATSUMOTO
  • Publication number: 20140183738
    Abstract: A metal interconnect comprising cobalt and method of forming a metal interconnect comprising cobalt are described. In an embodiment, a metal interconnect comprising cobalt includes a dielectric layer disposed on a substrate, an opening formed in the dielectric layer such that the substrate is exposed. The embodiment further includes a seed layer disposed over the substrate and a fill material comprising cobalt formed within the opening and on a surface of the seed layer.
    Type: Application
    Filed: December 28, 2012
    Publication date: July 3, 2014
    Inventors: Christopher J. Jezewski, James S. Clarke, Tejaswi K. Indukuri, FLorian Gstrein, Daniel J. Zierath
  • Patent number: 8765601
    Abstract: Embodiments of the invention provide methods for forming materials on a substrate used for metal gate and other applications. In one embodiment, a method includes forming a cobalt stack over a barrier layer disposed on a substrate by depositing a cobalt layer during a deposition process, exposing the cobalt layer to a plasma to form a plasma-treated cobalt layer during a plasma process, and repeating the cobalt deposition process and the plasma process to form the cobalt stack containing a plurality of plasma-treated cobalt layers. The method further includes exposing the cobalt stack to an oxygen source gas to form a cobalt oxide layer from an upper portion of the cobalt stack during a surface oxidation process and heating the remaining portion of the cobalt stack to a temperature within a range from about 300° C. to about 500° C. to form a crystalline cobalt film during a thermal annealing crystallization process.
    Type: Grant
    Filed: August 1, 2013
    Date of Patent: July 1, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Yu Lei, Xinyu Fu, Anantha Subramani, Seshadri Ganguli, Srinivas Gandikota
  • Publication number: 20140175652
    Abstract: A system and a method for protecting vias is disclosed. An embodiment comprises forming an opening in a substrate. A barrier layer disposed in the opening including along the sidewalls of the opening. The barrier layer may include a metal component and an alloying material. A conductive material is formed on the barrier layer and fills the opening. The conductive material to form a via (e.g., TSV).
    Type: Application
    Filed: February 26, 2014
    Publication date: June 26, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chen-Hua Yu, Wen-Chih Chiou, Weng-Jin Wu
  • Patent number: 8754531
    Abstract: A through-silicon via (TSV) includes an insulation layer continuously lining a straight sidewall of a recessed via feature; a barrier layer continuously covering the insulation layer; a first portion of a non-continuous seed layer disposed at one end of the recessed via feature; a non-continuous dielectric layer partially covering the straight sidewall of the recessed via feature; and a conductive layer filling the recessed via feature.
    Type: Grant
    Filed: March 14, 2012
    Date of Patent: June 17, 2014
    Assignee: Nanya Technology Corp.
    Inventors: Yu-Shan Chiu, Kuo-Hui Su
  • Patent number: 8753979
    Abstract: A method of forming a hybrid interconnect structure including dielectric spacers is provided. The method includes forming at least one opening in a dielectric material utilizing a patterned hard mask located on a surface of the dielectric material as a mask, wherein an undercut is present beneath said patterned hard mask. Next, a dense dielectric spacer is formed in the at least one opening and at least partially on exposed sidewalls of the dielectric material. A diffusion barrier and a conductive material are then formed within the at least one opening.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: June 17, 2014
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Thomas M. Shaw, Keith Kwong Hon Wong, Haining S. Yang
  • Patent number: 8753910
    Abstract: A method of manufacturing a vertical structure light emitting diode device, the method including: sequentially forming a first conductivity type III-V group compound semiconductor layer, an active layer, and a second conductivity type III-V group compound semiconductor layer on a substrate for growth; bonding a conductive substrate to the second conductivity type III-V group compound semiconductor layer; removing the substrate for growth from the first conductivity type III-V group compound semiconductor layer; and forming an electrode on an exposed portion of the first conductive III-V group compound semiconductor layer due to the removing the substrate for growth, wherein the bonding a conductive substrate comprises partially heating a metal bonding layer by applying microwaves to a bonding interface while bringing the metal bonding layer into contact with the bonding interface.
    Type: Grant
    Filed: October 12, 2012
    Date of Patent: June 17, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Myong Soo Cho, Ki Yeol Park, Sang Yeob Song, Si Hyuk Lee, Pun Jae Choi
  • Publication number: 20140159244
    Abstract: The present disclosure relates to a method of forming a back-end-of-the-line metal contact that eliminates RC opens caused by metal dishing during chemical mechanical polishing. The method is performed by depositing a sacrificial UV/thermal decomposition layer (UTDL) above an inter-level dielectric (ILD) layer. A metal contact is formed that extend through the ILD layer and the sacrificial UTDL. A chemical mechanical polishing (CMP) process is performed to generate a planar surface comprising the sacrificial UTDL. The sacrificial UTDL is then removed through an ultraviolet exposure or a thermal anneal, so that the metal contact protrudes from the ILD layer.
    Type: Application
    Filed: December 6, 2012
    Publication date: June 12, 2014
    Applicant: Taiwan Semiconductor Manufacturing Co. Ltd.
    Inventors: Hsin-Hsien Lu, Chia-Fang Tsai
  • Publication number: 20140159241
    Abstract: Disclosed structures and methods inhibit atomic migration and related capacitive-resistive effects between a metallization layer and an insulator layer in a semiconductor structure. One exemplary structure includes an inhibiting layer between an insulator and a metallization layer. The insulator includes a polymer or an insulating oxide compound. And, the inhibiting layer has a compound formed from a reaction between the polymer or insulating oxide compound and a transition metal, a representative metal, or a metalloid.
    Type: Application
    Filed: February 18, 2014
    Publication date: June 12, 2014
    Applicant: Micron Technology, Inc.
    Inventor: Paul A. Farrar
  • Publication number: 20140154880
    Abstract: A method of forming semiconductor die includes forming a layer of polymer or a precursor of the polymer on a bottomside of a substrate having a topside including active circuitry and a bottomside, and a plurality of through-substrate-vias (TSVs). The TSVs have a liner including at least a dielectric liner and an inner metal core that extends to TSV tips that protrude from the bottomside. The layer of polymer or precursor and liner cover the plurality of TSV tips, and the layer of polymer or precursor is between the TSV tips on the bottomside. The polymer or precursor and the liner are removed from over a top of the TSV tips to reveal the inner metal core.
    Type: Application
    Filed: February 5, 2014
    Publication date: June 5, 2014
    Applicant: Texas Instruments Incorporated
    Inventors: Jeffrey E. Brighton, Jeffrey A. West, RAjesh Tiwari
  • Patent number: 8742571
    Abstract: A diode arrangement includes a diode and two electrodes. Each electrode is connected to the diode in an electrically conductive manner via a soldered connection on one of two oppositely arranged contact surfaces of the diode. The contact surfaces of the diode are formed substantially by the surfaces of a lower side and an upper side of the diode and are contacted with the contact extensions of the electrodes via the soldered connection. The contact extensions forming counter contact surfaces are substantially congruent with the contact surfaces of the diode.
    Type: Grant
    Filed: September 15, 2010
    Date of Patent: June 3, 2014
    Assignee: Pac Tech—Packaging Technologies GmbH
    Inventors: Elke Zakel, Thorsten Teutsch, Ghassem Azdasht, Siavash Tabrizi
  • Patent number: 8742535
    Abstract: A method of manufacturing an IC, comprising providing a substrate having a first side and a second opposite side, forming a STI opening in the first side of the substrate and forming a partial TSV opening in the first side of the substrate and extending the partial TSV opening. The extended partial TSV opening is deeper into the substrate than the STI opening. The method also comprises filling the STI opening with a first solid material and filling the extended partial TSV opening with a second solid material. Neither the STI opening, the partial TSV opening, nor the extended partial TSV opening penetrate an outer surface of the second side of the substrate. At least either: the STI opening and the partial TSV opening are formed simultaneously, or, the STI opening and the extended partial TSV opening are filled simultaneously.
    Type: Grant
    Filed: December 16, 2010
    Date of Patent: June 3, 2014
    Assignee: LSI Corporation
    Inventors: Mark A. Bachman, Sailesh M. Merchant, John Osenbach
  • Patent number: 8741769
    Abstract: Disclosed is a process of making a semiconductor device wherein an insulation layer has a copper plug in contact with the last wiring layer of the device. There may also be a barrier layer separating the copper plug from the insulation layer. There may also be a cap layer over the copper plug to protect it from oxidation. There may also be a dielectric layer over the cap layer.
    Type: Grant
    Filed: February 14, 2013
    Date of Patent: June 3, 2014
    Assignee: International Business Machines Corporation
    Inventors: Mukta G. Farooq, Emily R. Kinser, Ian D. Melville, Krystyna Waleria Semkow
  • Patent number: 8742580
    Abstract: A method and structure are provided to enable wire bond connections over active and/or passive devices and/or low-k dielectrics, formed on an Integrated Circuit die. A semiconductor substrate having active and/or passive devices is provided, with interconnect metallization formed over the active and/or passive devices. A passivation layer formed over the interconnect metallization is provided, wherein openings are formed in the passivation layer to an upper metal layer of the interconnect metallization. Compliant metal bond pads are formed over the passivation layer, wherein the compliant metal bond pads are connected through the openings to the upper metal layer, and wherein the compliant metal bond pads are formed substantially over the active and/or passive devices. The compliant metal bond pads may be formed of a composite metal structure.
    Type: Grant
    Filed: February 25, 2007
    Date of Patent: June 3, 2014
    Assignee: Megit Acquisition Corp.
    Inventors: Jin-Yuan Lee, Ying-Chih Chen, Mou-Shiung Lin
  • Publication number: 20140145332
    Abstract: One illustrative method disclosed herein includes forming a trench/via in a layer of insulating material, forming a graphene liner layer in at least the trench/via, forming a copper-based seed layer on the graphene liner layer, depositing a bulk copper-based material on the copper-based seed layer so as to overfill the trench/via, and performing at least one chemical mechanical polishing process to remove at least excess amounts of the bulk copper-based material and the copper-based seed layer positioned outside of the trench/via to thereby define a copper-based conductive structure with a graphene liner layer positioned between the copper-based conductive structure and the layer of insulating material.
    Type: Application
    Filed: November 26, 2012
    Publication date: May 29, 2014
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Errol T. Ryan, Zoran Krivokapic, Xunyuan Zhang, Christian Witt, Ming He, Larry Zhao
  • Patent number: 8735276
    Abstract: Provided are semiconductor packages and methods of manufacturing the semiconductor package. The semiconductor packages may include a substrate including a chip pad, a redistributed line which is electrically connected to the chip pad and includes an opening. The semiconductor packages may also include an external terminal connection portion, and an external terminal connection pad which is disposed at an opening and electrically connected to the redistributed line. The present general inventive concept can solve the problem where an ingredient of gold included in a redistributed line may be prevented from being diffused into an adjacent bump pad to form a void or an undesired intermetallic compound. In a chip on chip structure, a plurality of bumps of a lower chip are connected to an upper chip to improve reliability, diversity and functionality of the chip on chip structure.
    Type: Grant
    Filed: February 3, 2012
    Date of Patent: May 27, 2014
    Assignee: SAMSUNG Electronics Co., Ltd.
    Inventors: Hyun-Soo Chung, Jae-Shin Cho, Dong-Ho Lee, Dong-Hyeon Jang, Seong-Deok Hwang, Seung-Duk Baek
  • Patent number: 8735281
    Abstract: A semiconductor device including an interconnection structure including a copper pad, a pad barrier layer and a metal redistribution layer, an interconnection structure thereof and methods of fabricating the same are provided. The semiconductor device includes a copper pad disposed on a first layer, a pad barrier layer including titanium disposed on the copper pad, an inorganic insulating layer disposed on the pad barrier layer, a buffer layer disposed on the inorganic insulating layer, wherein the inorganic insulating layer and the buffer layer expose a portion of the pad barrier layer, a seed metal layer disposed on the exposed buffer layer, a metal redistribution layer disposed on the seed metal layer, and a first protective layer disposed on the metal redistribution layer.
    Type: Grant
    Filed: May 2, 2013
    Date of Patent: May 27, 2014
    Assignee: SAMSUNG Electronics Co., Ltd.
    Inventors: Chang-Woo Shin, Hyun-Soo Chung, Eun-Chul Ahn, Jum-Gon Kim, Jin-Ho Chun
  • Patent number: 8735284
    Abstract: A metal seed composition useful in seeding a metal diffusion barrier or conductive metal layer on a semiconductor or dielectric substrate, the composition comprising: a nanoscopic metal component that includes a metal useful as a metal diffusion barrier or conductive metal; an adhesive component for attaching said nanoscopic metal component on said semiconductor or dielectric substrate; and a linker component that links said nanoscopic metal component with said adhesive component. Semiconductor and dielectric substrates coated with the seed compositions, as well as methods for depositing the seed compositions, are also described.
    Type: Grant
    Filed: November 12, 2013
    Date of Patent: May 27, 2014
    Assignee: International Business Machines Corporation
    Inventors: Kelly Malone, Habib Hichri
  • Patent number: 8735283
    Abstract: A method for forming small dimension openings in the organic masking layer of tri-layer lithography. The method includes forming an organic polymer layer over a semiconductor substrate; forming a silicon containing antireflective coating on the organic polymer layer; forming a patterned photoresist layer on the antireflective coating, the patterned photoresist layer having an opening therein; performing a first reactive ion etch to transfer the pattern of the opening into the antireflective coating to form a trench in the antireflective coating, the organic polymer layer exposed in a bottom of the trench; and performing a second reactive ion etch to extend the trench into the organic polymer layer, the second reactive ion etch forming a polymer layer on sidewalls of the trench, the second reactive ion etch containing a species derived from a gaseous hydrocarbon.
    Type: Grant
    Filed: June 23, 2011
    Date of Patent: May 27, 2014
    Assignee: International Business Machines Corporation
    Inventors: John C. Arnold, Jennifer Schuler, Yunpeng Yin
  • Publication number: 20140138837
    Abstract: A trench is opened in a dielectric layer. The trench is then lined with a sandwiched diffusion barrier and metal liner structure and a metal seed layer. The sandwiched diffusion barrier and metal liner structure includes a conformal metal liner layer sandwiched between a first diffusion barrier layer and a second diffusion barrier layer. The metal seed layer is at least lightly doped. The lined trench is then filled by electroplating with a metal fill material. A dielectric cap layer is then deposited over the metal filled trench. Dopant from the doped metal seed layer is then migrated to an interface between the metal filled trench and the dielectric cap layer to form a self-aligned metal cap.
    Type: Application
    Filed: November 20, 2012
    Publication date: May 22, 2014
    Applicants: STMicroelectronics, Inc., GlobalFoundries Inc, International Business Machines Corporation
    Inventors: Chengyu Niu, Andrew Simon, Tibor Bolom
  • Patent number: 8722534
    Abstract: A method for forming an interconnect structure includes forming a recess in a dielectric layer of a substrate, forming a first transition metal layer in the recess on corner portions of the recess, and forming a second transition metal layer in the recess over the first transition metal layer to line the recess. The method further includes filling the recess with a fill layer and annealing the substrate so that the first transition metal layer and the second transition metal layer form an alloy portion proximate the corner portions during the annealing, the alloy portion having a reduced wettability for a material of the fill layer than the second transition metal. Additionally, the method includes polishing the substrate to remove portions of the fill layer extending above the recess.
    Type: Grant
    Filed: July 30, 2012
    Date of Patent: May 13, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Xunyuan Zhang, Hoon Kim, Vivian W. Ryan
  • Patent number: 8722531
    Abstract: A method for forming an interconnect structure includes forming a dielectric layer overlying a substrate, forming a metal-containing layer in the dielectric layer, forming a barrier layer overlying the metal-containing layer, and performing a thermal process to form a metal oxide layer underlying the conductive layer. The metal oxide layer is a barrier layer formed at the boundary between the dielectric layer and the metal-containing layer.
    Type: Grant
    Filed: November 1, 2012
    Date of Patent: May 13, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Hung Lin, Chi-Yu Chou, Kuei-Pin Lee, Chen-Kuang Lien, Yu-Chang Hsiao, Yao-Hsiang Liang, Yu-Min Chang
  • Patent number: 8722533
    Abstract: A manufacturing method of a semiconductor device includes forming a structure comprising an interlayer dielectric layer on a substrate, an ultra-low-k material layer on the interlayer dielectric layer and a plug. The plug passes through the interlayer dielectric layer and the ultra-low-k material layer, and is formed of a first metal material. The method further includes removing an upper portion of the plug by etching to form a recessed portion, and filling the recessed portion with a second metal material. According to the method, contact-hole photolithography is performed only once, and thus avoids alignment issues that may occur when contact-hole photolithography needs to be performed twice.
    Type: Grant
    Filed: February 16, 2012
    Date of Patent: May 13, 2014
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Zhongshan Hong
  • Publication number: 20140127902
    Abstract: A method of integrating a fluorine-based dielectric with a metallization scheme is described. The method includes forming a fluorine-based dielectric layer on a substrate, forming a metal-containing layer on the substrate, and adding a buffer layer or modifying a composition of the fluorine-based dielectric layer proximate an interface between the fluorine-based dielectric layer and the metal-containing layer.
    Type: Application
    Filed: January 13, 2014
    Publication date: May 8, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Jianping ZHAO, Lee CHEN
  • Publication number: 20140127900
    Abstract: The present invention provides a method of forming Cu interconnects. The method comprises depositing an etch stop layer and an insulating layer subsequently; forming vias and trenches in the insulating layer; depositing a diffusion barrier layer and a copper seed layer using PVD; applying electroplating process to form the copper interconnects; depositing a layer of filling materials and reflowing the filling materials to eliminate the uneven surface topography of the copper interconnection layer; and applying annealing and CMP to planarize the top surface of the copper interconnects, and rinsing. According to the method of forming Cu interconnects, the uneven surface topography after electroplating can be reduced, and the surface topography after CMP can be planarized.
    Type: Application
    Filed: November 2, 2012
    Publication date: May 8, 2014
    Inventor: Jingxun FANG
  • Publication number: 20140124924
    Abstract: A method of forming an integrated circuit device includes forming a mask layer overlying an under bump metallurgy (UBM) layer, wherein the mask layer comprises a first portion adjacent to the UBM layer, and a second portion overlying the first portion. The method further includes forming an opening in the mask layer to expose a portion of the UBM layer. The method further includes forming a conductive layer in the opening of the mask layer, electrically connected to the exposed portion of the UBM layer. The method further includes removing the second portion of the mask layer to expose an upper portion of the conductive layer. The method further includes forming a barrier layer on the exposed upper portion of the conductive layer.
    Type: Application
    Filed: January 13, 2014
    Publication date: May 8, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Wei Sen CHANG
  • Publication number: 20140127903
    Abstract: A semiconductor device is manufactured by etching a semiconductor substrate including an active region, forming a bit line contact hole from which the active region is protruded, forming a first spacer exposing a top of the active region at each of an inner wall and a bottom of the bit line contact hole, forming a bit line contact plug and a bit line over the exposed active region, and forming a second spacer over the semiconductor substrate including not only the bit line contact plug but also the bit line.
    Type: Application
    Filed: January 14, 2014
    Publication date: May 8, 2014
    Applicant: SK HYNIX INC.
    Inventors: Jae Young Kim, Mi Hyune You
  • Publication number: 20140127901
    Abstract: A method includes forming a sacrificial layer on a substrate. A hard mask layer is formed on the sacrificial layer. The hard mask layer and the sacrificial layer are etched to form a first plurality of openings in the hard mask layer and the sacrificial layer. A low-k dielectric layer is deposited in the first plurality of openings. The hard mask layer and the sacrificial layer are thereafter removed leaving behind a plurality of low-k dielectric pillar structures having second plurality of openings therebetween. The second plurality of openings are then filled with a copper-containing layer.
    Type: Application
    Filed: November 8, 2012
    Publication date: May 8, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih Wei Lu, Chung-Ju Lee, Tien-I Bao
  • Patent number: 8716127
    Abstract: A metal interconnect structure, which includes metal alloy capping layers, and a method of manufacturing the same. The originally deposited alloy capping layer element within the interconnect features will diffuse into and segregate onto top surface of the metal interconnect. The metal alloy capping material is deposited on a reflowed copper surface and is not physically in contact with sidewalls of the interconnect features. The metal alloy capping layer is also reflowed on the copper. Thus, there is a reduction in electrical resistivity impact from residual alloy elements in the interconnect structure. That is, there is a reduction, of alloy elements inside the features of the metal interconnect structure. The metal interconnect structure includes a dielectric layer with a recessed line, a liner material on sidewalls, a copper material, an alloy capping layer, and a dielectric cap.
    Type: Grant
    Filed: May 11, 2013
    Date of Patent: May 6, 2014
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Marc A. Bergendahl, Steven J. Holmes, David V. Horak, Charles W. Koburger, Shom Ponoth
  • Patent number: 8716125
    Abstract: Embodiments of the present invention provide methods of in-situ vapor phase deposition of self-assembled monolayers as copper adhesion promoters and diffusion barriers. A copper region is formed in a dielectric layer. A diffusion barrier comprising a self-assembled monolayer is deposited over the copper region. A capping layer is deposited over the self-assembled monolayer. In some embodiments, the capping layer and self-assembled monolayer are deposited in the same process chamber.
    Type: Grant
    Filed: August 10, 2012
    Date of Patent: May 6, 2014
    Assignee: Globalfoundries Inc.
    Inventor: Jinhong Tong
  • Publication number: 20140117550
    Abstract: A method of forming a semiconductor device, includes depositing first copper material by physical vapor deposition (PVD) on an insulating layer and on a barrier material formed on a sidewall and a bottom of a trench in the insulating layer, heating the first copper material to reflow the first copper material into the trench, depositing a second copper material by PVD on the insulating layer, on the barrier material and on the first copper material, and heating the second copper material to reflow the second copper material into the trench such that the second copper material is formed on the first copper material and on the sidewall of the trench, the first and second copper materials forming a copper layer in the trench, an amount of sulfur and chlorine in the copper layer being less than 1ppm.
    Type: Application
    Filed: October 29, 2013
    Publication date: May 1, 2014
    Applicants: International Business Machines Corporation, Renesas Electronics Corporation
    Inventors: Koichi Motoyama, Oscar Van Der Straten
  • Publication number: 20140120717
    Abstract: A method of fabricating a semiconductor integrated circuit (IC) is disclosed. The method includes providing a substrate. A metal-forming (MF) layer is deposited on the substrate. A radiation exposure process through a photomask is applied to the MF layer to form exposed regions and unexposed regions in the MF layer. The MF layer in the unexposed regions is removed while the MF layer in the exposed regions remains to form metal features. A dielectric layer is deposited to fill in regions between metal features.
    Type: Application
    Filed: November 1, 2012
    Publication date: May 1, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chien-Hua Huang, Chung-Ju Lee
  • Publication number: 20140117549
    Abstract: A method of manufacturing a semiconductor device includes the steps of immersing a substrate in a solution containing metal ions to adhere a metal catalyst to a surface of the substrate, immersing the substrate with the metal catalyst adhered thereto in an electroless plating solution to electrolessly plate a layer on the substrate, immersing the substrate in an electroplating solution to electroplate a layer on the electrolessly plated layer using the electrolessly plated layer as a power feeding layer, and forming a metal layer of Cu or Ag on the electroplated layer. The electroplated layer is formed of a different material than the metal layer.
    Type: Application
    Filed: August 27, 2013
    Publication date: May 1, 2014
    Applicant: Mitsubishi Electric Corporation
    Inventors: Daisuke Tsunami, Koichiro Nishizawa
  • Publication number: 20140117551
    Abstract: A processing system for forming a film on a target object having thereon an insulating layer that is made of a low-k film and having a recess is provided. The processing system comprises: a processing apparatus configured to form a first-metal-containing film containing a first metal on a surface of the target object; a processing apparatus configured to form a second-metal-containing film containing Mn as a second metal having a barrier property against a filling metal to be filled in the recess; a processing apparatus configured to form a thin film made of a third metal as the filling metal to be filled; a common transfer chamber connected with each of the processing apparatuses; a transfer unit for transferring the target object into each of the processing apparatuses; and a system controller that controls the whole processing system so as to perform a film forming method.
    Type: Application
    Filed: January 8, 2014
    Publication date: May 1, 2014
    Applicant: Tokyo Electron Limited
    Inventor: Hidenori Miyoshi
  • Publication number: 20140117545
    Abstract: A copper layer is formed without copper hillocks. Embodiments includes providing a copper layer above a substrate, planarizing the copper layer, performing hydrogen (H2) plasma treatment on the copper layer in a first chamber, and forming a barrier layer over the copper layer in a second chamber, different from the first chamber.
    Type: Application
    Filed: October 26, 2012
    Publication date: May 1, 2014
    Applicant: GLOBALFOUNDRIES Singapore Pte. Ltd
    Inventors: Huang LIU, Xuesong Rao, Zheng Zou, Alex See, Lup San Leong, Liang Li, Chim Seng Seet
  • Patent number: 8709943
    Abstract: A masking layer is formed on a dielectric region of an electronic device so that, during subsequent formation of a capping layer on electrically conductive regions of the electronic device that are separated by the dielectric region, the masking layer inhibits formation of capping layer material on or in the dielectric region. The capping layer can be formed selectively on the electrically conductive regions or non-selectively; in either case, capping layer material formed over the dielectric region can subsequently be removed, thus ensuring that capping layer material is formed only on the electrically conductive regions. Silane-based materials, can be used to form the masking layer. The capping layer can be formed of an conductive material, a semiconductor material, or an insulative material, and can be formed using any appropriate process, including conventional deposition processes such as electroless deposition, chemical vapor deposition, physical vapor deposition or atomic layer deposition.
    Type: Grant
    Filed: May 13, 2013
    Date of Patent: April 29, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Thomas R. Boussie, David E. Lazovsky, Sandra G. Malhotra
  • Patent number: 8704373
    Abstract: For simplifying the dual-damascene formation steps of a multilevel Cu interconnect, a formation step of an antireflective film below a photoresist film is omitted. Described specifically, an interlayer insulating film is dry etched with a photoresist film formed thereover as a mask, and interconnect trenches are formed by terminating etching at the surface of a stopper film formed in the interlayer insulating film. The stopper film is made of an SiCN film having a low optical reflectance, thereby causing it to serve as an antireflective film when the photoresist film is exposed.
    Type: Grant
    Filed: October 1, 2013
    Date of Patent: April 22, 2014
    Assignee: Renesas Electronics Corporation
    Inventors: Katsuhiko Hotta, Kyoko Sasahara
  • Publication number: 20140106563
    Abstract: A stress reduction apparatus comprises a metal structure formed over a substrate, an inter metal dielectric layer formed over the substrate, wherein a lower portion of the metal structure is embedded in the inter metal dielectric layer and an inverted cup shaped stress reduction layer formed over the metal structure, wherein an upper portion of the metal structure is embedded in the inverted cup shaped stress reduction layer.
    Type: Application
    Filed: December 20, 2013
    Publication date: April 17, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ying-Ti Lu, Wen-Tsao Chen, Ming-Ray Mao, Kuan-Chi Tsai
  • Publication number: 20140106562
    Abstract: A copper interconnect includes a copper layer formed in a dielectric layer. A glue layer is formed between the copper layer and the dielectric layer. A barrier layer is formed at the boundary between the glue layer and the dielectric layer. The barrier layer is a metal oxide.
    Type: Application
    Filed: December 18, 2013
    Publication date: April 17, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chih-Kuang Kao, Huei-Wen Yang, Yung-Sheng Huang, Yu-Wen Lin
  • Publication number: 20140103529
    Abstract: In order to obtain a semiconductor device having an embedded electrode with low cost and high reliability, a semiconductor device manufacturing method includes forming a first film made of a metal oxide within an opening which is formed in an insulating film formed on a surface of a substrate; performing a hydrogen radical treatment by irradiating atomic hydrogen to the first film; forming a second film made of a metal within the opening after the performing of the hydrogen radical treatment; and forming an electrode made of a metal within the opening after the forming of the second film.
    Type: Application
    Filed: December 13, 2013
    Publication date: April 17, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Kenji Matsumoto, Atsushi Gomi, Tatsuo Hatano, Tatsufumi Hamada
  • Publication number: 20140103534
    Abstract: A method for at least partially filling a feature on a workpiece generally includes obtaining a workpiece including a feature, depositing a first conductive layer in the feature, wherein the sheet resistance of the first conductive layer is greater than 10 ohm/square, depositing a second conductive layer in the feature by electrochemical deposition, wherein the electrical contacts are at least partially immersed in the deposition chemistry.
    Type: Application
    Filed: June 11, 2013
    Publication date: April 17, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Ismail T. Emesh, Roey Shaviv
  • Patent number: 8697572
    Abstract: In a method for forming a Cu film, a CVD Cu film is formed on a CVD-Ru film that is formed on a wafer W. In the method, the wafer W having the CVD-Ru film is loaded into a chamber 1, and a film-forming source material in a vapor state is introduced into the chamber 1. The film-forming source material includes Cu(hfac)TMVS that is a Cu complex having a vapor pressure higher than that of Cu(hfac)2 produced as a by-product during the film formation. When the CVD-Cu film is formed, the pressure within the chamber 1 is controlled to a pressure at which the desorption and diffusion of Cu(hfac)2 adsorbed on the surface of the CVD Ru film proceed.
    Type: Grant
    Filed: August 17, 2011
    Date of Patent: April 15, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Yasuhiko Kojima, Kenji Hiwa
  • Patent number: 8691691
    Abstract: The present invention includes embodiments of a processing method, and resulting structure, for building a chip having a TSV pillar which can be used as an interconnecting structure. The process includes the deposition of a dual diffusion barrier between the TSV and the substrate the TSV is embedded within. The TSV is then exposed from the back side of the substrate so that at least a portion of the TSV protrudes from the substrate and can be used as a contact for connecting the chip to another surface. The resulting TSV is rigid, highly conductive, can be placed in a tightly pitched grid of contacts, and reduces effects of CTE mismatch.
    Type: Grant
    Filed: July 29, 2011
    Date of Patent: April 8, 2014
    Assignee: International Business Machines Corporation
    Inventors: Mukta G. Farooq, Troy L. Graves-Abe, William F. Landers, Kevin S. Petrarca, Richard P. Volant