Having Refractory Group Metal (i.e., Titanium (ti), Zirconium (zr), Hafnium (hf), Vanadium (v), Niobium (nb), Tantalum (ta), Chromium (cr), Molybdenum (mo), Tungsten (w), Or Alloy Thereof) Patents (Class 438/656)
  • Publication number: 20080003813
    Abstract: A method for patterning a metal line includes forming a barrier metal layer and a metal layer, etching the metal layer, etching the barrier metal layer to form a passivation layer on an etched surface of the barrier metal layer, and cleaning a resultant structure where the passivation layer is formed.
    Type: Application
    Filed: December 18, 2006
    Publication date: January 3, 2008
    Inventors: Ki-Won Nam, Seung-Bum Kim
  • Patent number: 7312531
    Abstract: Semiconductor devices and methods for fabricating the same. The devices include a substrate, a catalyst layer, a second dielectric layer, and carbon nanotubes (CNTs). The substrate comprises an overlying first dielectric layer with an electrode embedded therein. The catalyst layer overlies the electrode and the first dielectric layer and substantially comprises Co and M1, wherein M1 is selected from a group consisting of W, P, B, Bi, Ni, and a combination thereof. The second dielectric layer overlies the catalyst layer and comprises an opening exposing parts of the catalyst layer. The carbon nanotubes (CNTs) are disposed on the exposed catalyst layer and electrically connect the electrode.
    Type: Grant
    Filed: October 28, 2005
    Date of Patent: December 25, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hui-Lin Chang, Yung-Cheng Lu, Chung-Chi Ko, Pi-Tsung Chen, Shau-Lin Shue, Chien-Hsueh Shih, Hung-Wen Su, Ming-Hsing Tsai
  • Patent number: 7306996
    Abstract: A method of fabricating a semiconductor device having a metal gate pattern is provided in which capping layers are used to control the relative oxidation rates of portions of the metal gate pattern during a oxidation process. The capping layer may be a multilayer structure and may be etched to form insulating spacers on the sidewalls of the metal gate pattern. The capping layer(s) allow the use of a selective oxidation process, which may be a wet oxidation process utilizing partial pressures of both H2O and H2 in an H2-rich atmosphere, to oxidize portions of the substrate and metal gate pattern while suppressing the oxidation of metal layers that may be included in the metal gate pattern. This allows etch damage to the silicon substrate and edges of the metal gate pattern to be reduced while substantially maintaining the original thickness of the gate insulating layer and the conductivity of the metal layer(s).
    Type: Grant
    Filed: August 3, 2006
    Date of Patent: December 11, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ja-Hum Ku, Chang-Won Lee, Seong-Jun Heo, Sun-Pil Youn, Sung-Man Kim
  • Patent number: 7307017
    Abstract: Semiconductor devices and methods of fabricating semiconductor devices are disclosed. A disclosed semiconductor device includes a silicon substrate, a source region and a drain region. A gate electrode is formed on the silicon substrate. Also, a metal silicide layer is formed on each of the gate electrode, the source region, and the drain region. The metal silicide layer has a thickness uniformity of about 1˜20%. A disclosed fabrication method includes forming a metal layer on a silicon substrate having a gate electrode, a source region, and a drain region; performing a plasma treatment on the metal layer; forming a protective layer on the metal layer; and heat treating the silicon substrate on which the protective layer is formed to thereby form a metal silicide layer. A gas that includes nitrogen is used as a plasma gas during the plasma treatment.
    Type: Grant
    Filed: May 25, 2004
    Date of Patent: December 11, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventors: Han-Choon Lee, Jin-Woo Park
  • Patent number: 7303988
    Abstract: Methods of forming a multi-level metal line of a semiconductor device are disclosed. One example method includes subsequently stacking first and second metal layers, wherein a conductive etching stopper layer is interposed at an interface between the first and second metal layers; forming first and second metal layer pattern by patterning the first metal layer, the etching stopper layer, and the second metal layer, wherein the first metal layer pattern is formed as a lower metal line; forming a connection contact in form of a plug by selectively etching the second metal layer pattern until the etching stopper layer is exposed; forming an interlayer insulating layer to cover the connection contact and the first metal layer pattern; and exposing an upper surface of the connection contact by planarizing the interlayer insulating layer.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: December 4, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sang Chul Shim
  • Patent number: 7300887
    Abstract: Methods of forming metal nitride layers on a substrate include reacting a metal source gas with a nitrogen source gas in a process chamber to form a metal nitride layer on the substrate. The process chamber may have an atmosphere having a pressure of about 0.1 mTorr to about 5 mTorr and a temperature of about 200° C. to about 450° C. A ratio of the flow rate of the metal source gas to the flow rate of the nitrogen source gas may be “1” or more. An interlayer insulating layer may be formed on the semiconductor substrate prior to formation of the metal nitride layer.
    Type: Grant
    Filed: September 15, 2005
    Date of Patent: November 27, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Hwa Park, Kwang-Jin Moon, Gil-Heyun Choi, Sang-Woo Lee, Jeong-Tae Kim, Jang-Hee Lee
  • Patent number: 7300873
    Abstract: A method of forming (and an apparatus for forming) a metal containing layer on a substrate, particularly a semiconductor substrate or substrate assembly for use in manufacturing a semiconductor or memory device structure, using one or more homoleptic and/or heteroleptic precursor compounds that include, for example, guanidinate, phosphoguanidinate, isoureate, thioisoureate, and/or selenoisoureate ligands using a vapor deposition process is provided.
    Type: Grant
    Filed: August 13, 2004
    Date of Patent: November 27, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Dan B. Millward
  • Patent number: 7297623
    Abstract: In accordance with one embodiment of the present invention, a method of interfacing a poly-metal structure and a semiconductor substrate is provided where an etch stop layer is provided in a polysilicon region of the structure. The present invention also addresses the relative location of the etch stop layer in the polysilicon region and a variety of structure materials and oxidation methods.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: November 20, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Kishnu K. Agarwal
  • Patent number: 7297630
    Abstract: A method of fabricating a via and a trench is disclosed. A disclosed method comprises: forming a via hole and a trench in a interlayer dielectric layer on a semiconductor substrate where a predetermined device is formed; depositing a thin Hf layer on the substrate; performing a thermal treatment of the substrate to getter oxygen and forming a barrier layer; and filling copper into the via hole and the trench.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: November 20, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jung Joo Kim
  • Publication number: 20070264821
    Abstract: A method of forming a semiconductor device may include forming a first conductive metal compound layer on a substrate using a metal organic chemical vapor deposition (MOCVD) process and/or forming a second conductive metal compound layer on the first conductive metal compound layer using a physical vapor deposition (PVD) process. The first and second conductive metal compound layers may be formed while reducing or preventing the exposure of the first conductive metal compound layer to oxygen atoms, thus reducing degradation of the first conductive metal compound layer.
    Type: Application
    Filed: April 17, 2007
    Publication date: November 15, 2007
    Inventors: Ju-Youn Kim, Seok-Jun Won, Rak-Hwan Kim, Min-Woo Song, Weon-Hong Kim, Jung-Min Park
  • Patent number: 7294241
    Abstract: A method of sputtering a Ta layer comprised of alpha phase Ta on a Cu layer. An embodiment includes a Ta sputter deposition on a Cu surface at a substrate temperature less than 200° C. Another embodiment has a pre-cooling step at a temperature less than 100° C. prior to Ta layer sputter deposition. In another non-limiting example embodiment, a pre-clean step comprising an inert gas sputter is performed prior to the tantalum sputter. Another non-limiting example embodiment provides a semiconductor structure comprising: a semiconductor structure; a copper layer over the semiconductor structure; a tantalum layer on the copper layer; the tantalum layer comprised alpha phase Ta; a metal layer on the tantalum layer.
    Type: Grant
    Filed: January 3, 2003
    Date of Patent: November 13, 2007
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Chim Seng Seet, Bei Chao Zhang, San Leong Liew, John Sudijono, Lai Lin Clare Yong
  • Patent number: 7279417
    Abstract: Methods for protecting an exposed copper surface of a partially fabricated IC from oxidation during exposure to an oxygen-containing environment are disclosed. The methods involve treating the exposed copper surface with a metallocene compound in order to minimize formation of copper oxide on the exposed surface, and exposing the copper surface to an oxygen-containing environment.
    Type: Grant
    Filed: February 3, 2004
    Date of Patent: October 9, 2007
    Assignee: Novellus Systems, Inc.
    Inventors: Jeremie Dalton, Sanjay Gopinath, Jason M. Blackburn
  • Patent number: 7276386
    Abstract: A method of manufacturing a semiconductor device includes the steps of forming barrier metals on first electrodes provided on a chip of the semiconductor device, implementing a predetermined test on the semiconductor device by applying a signal to the semiconductor device via at least one of the barrier metals, and forming second protruded electrodes on the barrier metals. The predetermined tests are implemented before forming second protruded electrodes on the barrier metals.
    Type: Grant
    Filed: August 10, 2004
    Date of Patent: October 2, 2007
    Assignee: Fujitsu Limited
    Inventors: Katsumi Miyata, Eiji Watanabe, Hiroyuki Yoda
  • Patent number: 7267996
    Abstract: A method of etching an iridium layer for use in a ferroelectric device includes preparing a substrate; depositing a barrier layer on the substrate; depositing an iridium layer on the barrier layer; depositing a hard mask layer on the iridium layer; depositing, patterning and developing a photoresist layer on the hard mask; etching the hard mask layer; etching the iridium layer using argon, oxygen and chlorine chemistry in a high-density plasma reactor; and completing the ferroelectric device.
    Type: Grant
    Filed: August 20, 2004
    Date of Patent: September 11, 2007
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Fengyan Zhang, David R. Evans, Wei Pan, Lisa H. Stecker, Jer-Shen Maa
  • Patent number: 7268075
    Abstract: Embodiments of the present invention provide methods to reduce the copper line roughness for increased electrical conductivity in narrow interconnects having a width of less than 100 nm. These methods reduce the copper line roughness by first smoothing the surface on which the copper lines are formed by performing a short electrochemical etch of the surface. The electrical conductivity of the interconnects is increased by reducing the copper line roughness that in turn reduces the resistivity of the copper lines.
    Type: Grant
    Filed: May 16, 2003
    Date of Patent: September 11, 2007
    Assignee: Intel Corporation
    Inventors: David H. Gracias, Chih-I Wu
  • Patent number: 7268074
    Abstract: A multilayer metal cap over a metal-filled interconnect feature in a dielectric layer for incorporation into a multilayer integrated circuit device, and a method for forming the cap.
    Type: Grant
    Filed: June 14, 2004
    Date of Patent: September 11, 2007
    Assignee: Enthone, Inc.
    Inventors: Eric Yakobson, Richard Hurtubise, Christian Witt, Qingyun Chen
  • Patent number: 7259096
    Abstract: A method for forming an Al interconnect is disclosed. A disclosed method comprises: depositing a Ti layer on a substrate having predetermined devices; depositing a TiN layer on the entire surface of the Ti layer by performing a CVD process; performing a plasma treatment for the TiN layer; depositing an Al layer on the TiN layer; and forming an ARC on the entire surface of the Al layer.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: August 21, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jung Joo Kim
  • Patent number: 7259092
    Abstract: A semiconductor device and a method for fabricating the same is disclosed, to prevent a defective contact of a line in a method of completely filling a minute contact hole having a high aspect ratio with a refractory metal layer, which includes the steps of forming a contact hole in an insulating interlayer of a semiconductor substrate; depositing a barrier metal layer on an inner surface of the contact hole and an upper surface of the insulating interlayer, wherein the process of depositing the barrier metal is performed by sequentially progressing one cycle of: injecting a reaction gas of SiH4 to the chamber, injecting a first purging gas to the chamber, injecting a reaction gas of WF6 to the chamber; injecting a second purging gas to the chamber, injecting a reaction gas of NH3 to the chamber, and injecting a third purging gas to the chamber; depositing a first metal layer for nucleation on the barrier metal layer by the atomic layer deposition process; and depositing a second metal layer on the first meta
    Type: Grant
    Filed: August 30, 2004
    Date of Patent: August 21, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Byung Hyun Jung
  • Patent number: 7253103
    Abstract: Provided is a reliable semiconductor device with a layered interconnect structure that may develop no trouble of voids and interconnect breakdowns, in which the layered interconnect structure comprises a conductor film and a neighboring film as so layered on a semiconductor substrate that the neighboring film is contacted with the conductor film.
    Type: Grant
    Filed: March 30, 2006
    Date of Patent: August 7, 2007
    Assignee: Hitachi, Ltd.
    Inventors: Tomio Iwasaki, Hideo Miura
  • Patent number: 7253092
    Abstract: Disclosed herein is a method of making integrated circuits. In one embodiment the method includes forming tungsten plugs in the integrated circuit and forming electrically conductive interconnect lines in the integrated circuit after formation of the tungsten plugs. At least one tungsten plug is electrically connected to at least one electrically conductive interconnect line. Thereafter at least one electrically conductive interconnect line is contacted with water for a period of time less than 120 minutes.
    Type: Grant
    Filed: June 24, 2003
    Date of Patent: August 7, 2007
    Assignee: NEC Electronics America, Inc.
    Inventors: Elizabeth A. Dauch, John W. Jacobs
  • Patent number: 7244668
    Abstract: Methods for manufacturing semiconductor devices are disclosed. In one example, the semiconductor device has a gate and source/drain regions formed on a substrate. One example method includes introducing transition metal (Ti) source or precursor so that the introduced Ti source is chemisorbed onto the surface of the substrate and Ti mono-layer is formed; introducing semiconductor (Si) source so that the introduced Si source is chemisorbed onto the Ti mono-layer and Si mono-layer is formed; repeating the forming of the Ti and Si mono-layers; annealing the substrate to form a silicide layer (TiSi2) of C-54 phase; and patterning the C-54 phase TiSi2 layer to remain on the upper surfaces of the gate and source/drain regions.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: July 17, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Duk Soo Kim
  • Patent number: 7244996
    Abstract: A field effect transistor having metallic silicide layers is formed in a semiconductor layer on an insulating layer of an SOI substrate. The metallic silicide layers are composed of refractory metal and silicon. The metallic silicide layers extend to bottom surfaces of a source and a drain regions. A ratio of the metal to the silicon in the metallic silicide layers is X to Y. A ratio of the metal to the silicon of metallic silicide having the lowest resistance among stoichiometaric metallic silicides is X0 to Y0. X, Y, X0 and Y0 satisfy the following inequity: (X/Y)>(X0/Y0).
    Type: Grant
    Filed: April 5, 2001
    Date of Patent: July 17, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Norio Hirashita, Takashi Ichimori
  • Patent number: 7241686
    Abstract: In one example of the invention, a method for depositing a tantalum-containing material on a substrate in a process chamber is provided which includes exposing the substrate to a tantalum precursor that contains TAIMATA and to at least one secondary precursor to deposit a tantalum-containing material during an atomic layer deposition (ALD) process. The ALD process is repeated until the tantalum-containing material is deposited having a predetermined thickness. Usually, the TAIMATA is preheated prior to pulsing the tantalum precursor into the process chamber. Subsequently, a metal layer, such as tungsten or copper, may be deposited on the tantalum-containing material. The tantalum-containing material may contain tantalum, tantalum nitride, tantalum silicon nitride, tantalum boron nitride, tantalum phosphorous nitride, or tantalum oxynitride. The tantalum-containing material may be deposited as a barrier or adhesion layer within a via or as a gate electrode material within a source/drain device.
    Type: Grant
    Filed: February 19, 2005
    Date of Patent: July 10, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Christophe Marcadal, Rongjun Wang, Hua Chung, Nirmalya Maity
  • Patent number: 7238606
    Abstract: Methods for fabricating a copper interconnect of a semiconductor device are disclosed. An example method for fabricating a copper interconnect of a semiconductor device deposits a first insulating layer on a substrate having at least one predetermined structure, forms a trench and via hole through the first insulating layer by using a dual damascene process, and deposits a barrier layer along the bottom and the sidewalls of the trench and via hole.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: July 3, 2007
    Assignee: Dongbu Electronics, Co., Ltd.
    Inventor: In Kyu Chun
  • Publication number: 20070145492
    Abstract: A method of manufacturing a semiconductor device includes forming an insulating layer over the semiconductor substrate and the gate electrode. An insulating layer may have a via hole connected to the semiconductor substrate or the gate electrode and a trench connected to the via hole. A first barrier layer and a second barrier layer may be formed. The first barrier layer and the second barrier layer may be annealed to form a silicide and combine the first barrier layer and the second barrier layer to form a metal compound.
    Type: Application
    Filed: December 8, 2006
    Publication date: June 28, 2007
    Inventor: Chee-Hong Choi
  • Patent number: 7235471
    Abstract: A method for forming a semiconductor device includes providing a semiconductor substrate, forming an insulating layer over the semiconductor substrate, forming a conductive layer over the insulating layer, forming a first metal silicide layer over the conductive layer, patterning the conductive layer to form a patterned first layer, wherein the patterned first layer is a part of a control electrode, patterning the first metal silicide layer to form a patterned first metal silicide layer over the control electrode so that the patterned first metal silicide layer remains over the control electrode, and forming a second metal silicide over the patterned metal silicide layer, wherein the second metal silicide layer has a thickness greater than the thickness of first metal silicide layer.
    Type: Grant
    Filed: May 26, 2004
    Date of Patent: June 26, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Dharmesh Jawarani, Tab A. Stephens
  • Patent number: 7235485
    Abstract: Provided is a method of manufacturing a semiconductor device with enhanced electrical characteristics. The method includes disposing a substrate on a substrate support in a process chamber, pre-heating the substrate on the substrate support adjusted to a temperature from 300 to 400° C. for 60 seconds or more, forming a silicon protective layer on the substrate by supplying a silicon source gas into the process chamber and heating the substrate on the substrate support adjusted to a temperature from 300 to 400° C. for 10 seconds or more, and forming a tungsten layer on the silicon protective layer.
    Type: Grant
    Filed: October 14, 2005
    Date of Patent: June 26, 2007
    Assignees: Samsung Electronics Co., Ltd., Infineon Technology North America Corp.
    Inventors: Jun-keun Kwak, Roland Hampp
  • Patent number: 7226854
    Abstract: Methods of forming metal lines in semiconductor devices are disclosed. One example method may include forming lower metal lines and forming an insulation layer on the lower metal lines; etching said insulation layer to a depth; and depositing a material for upper metal lines on the entire surface of said insulation layer and planarizing the material for the upper metal lines to form said upper metal lines. The example method may also include exposing the lower metal lines by etching said upper metal lines and the insulation layer and depositing a material for contact plugs on the entire surfaces of said upper metal lines and said insulation layer and planarizing the material for said contact plugs to form the contact plugs.
    Type: Grant
    Filed: December 26, 2003
    Date of Patent: June 5, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Cheolsoo Park
  • Patent number: 7226858
    Abstract: A submicron contact opening fill using a chemical vapor deposition (CVD) TiN liner/barrier and a high temperature, e.g., greater than about 385° C., physical vapor deposition (PVD) aluminum alloy layer that substantially fills the submicron contact.
    Type: Grant
    Filed: January 6, 2005
    Date of Patent: June 5, 2007
    Assignee: Microchip Technology Incorporated
    Inventors: Jacob Lee Williams, Harold E. Kline
  • Patent number: 7223685
    Abstract: The present application discloses process comprising providing a wafer, the wafer comprising an inter-layer dielectric (ILD) having a feature therein, an under-layer deposited on the ILD, and a barrier layer deposited on the under-layer, and a conductive layer deposited in the feature, placing the wafer in an electrolyte, such that at least the barrier layer is immersed in the electrolyte, and applying an electrical potential between the electrode and the wafer.
    Type: Grant
    Filed: June 23, 2003
    Date of Patent: May 29, 2007
    Assignee: Intel Corporation
    Inventors: Tatyana N. Andryushchenko, Anne E. Miller
  • Patent number: 7208413
    Abstract: A method of forming a boride layer for integrated circuit fabrication is disclosed. In one embodiment, the boride layer is formed by chemisorbing monolayers of a boron-containing compound and one refractory metal compound onto a substrate. In an alternate embodiment, the boride layer has a composite structure. The composite boride layer structure comprises two or more refractory metals. The composite boride layer is formed by sequentially chemisorbing monolayers of a boron compound and two or more refractory metal compounds on a substrate.
    Type: Grant
    Filed: November 19, 2004
    Date of Patent: April 24, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Jeong Soo Byun, Alfred Mak
  • Patent number: 7205229
    Abstract: Integrated circuit interconnect alloys having copper, silver or gold as the major constituent element. The resulting reduction in melting temperature allows for improved coverage of high aspect ratio features with reduced deposition pressure. The alloys are used to fabricate interconnects in integrated circuits, such as memory devices. The interconnects can be high aspect ratio features formed using a dual damascene process. The integrated circuits having the interconnects are applicable to semiconductor dies, devices, modules and systems.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: April 17, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Farrar
  • Patent number: 7199043
    Abstract: Disclosed in a method of forming a copper wiring in a semiconductor device. A copper layer buries a damascene pattern in which an interlayer insulating film of a low dielectric constant. The copper layer is polished by means of a chemical mechanical polishing process to form a copper wiring within a damascene pattern. At this time, the chemical mechanical polishing process is overly performed so that the top surface of the copper wiring is concaved and is lower than the surface of the interlayer insulating film of the low dielectric constant neighboring it. Furthermore, an annealing process is performed so that the top surface of the copper wiring is changed from the concaved shape to a convex shape while stabilizing the copper wiring. A copper anti-diffusion insulating film is then formed on the entire structure including the top surface of the copper wiring having the convex shape.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: April 3, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Kyun Park
  • Patent number: 7196006
    Abstract: A method of manufacturing a microelectronic device, including performing a first inspection of a device feature during an intermediate stage of manufacture, cleaning the device feature after the first inspection, and performing a second inspection of the device feature after cleaning the device feature.
    Type: Grant
    Filed: April 13, 2004
    Date of Patent: March 27, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Pey-Yuan Lee, Feng-Liang Lai, Cheng-Kuo Chu, Chi-Shen Lo
  • Patent number: 7186646
    Abstract: Semiconductor devices and methods of forming a barrier metal in semiconductor devices are disclosed. A disclosed semiconductor device includes a metal layer on a semiconductor substrate; an interlayer dielectric layer on the metal layer, a hole in the interlayer dielectric layer that exposes a portion of the metal layer; and a barrier metal on inner walls of the hole. The barrier metal is made of TaSiN having a resistivity less than or equal to about 10,000 ?ohm-cm.
    Type: Grant
    Filed: August 25, 2004
    Date of Patent: March 6, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Han-Choon Lee
  • Patent number: 7183187
    Abstract: The present invention provides a method for manufacturing a semiconductor device and a method for manufacturing an integrated circuit including the semiconductor device. The method for manufacturing the semiconductor device, among other possible steps, includes forming a polysilicon gate electrode (250) over a substrate (210) and forming source/drain regions (610) in the substrate (210) proximate the polysilicon gate electrode (250). The method further includes forming a protective layer (710) over the source/drain regions (610) and the polysilicon gate electrode (250), then removing the protective layer (710) from over a top surface of the polysilicon gate electrode (250) while leaving the protective layer (710) over the source/drain regions (250). After the protective layer (710) has been removed from over the top surface of the polysilicon gate electrode (250), the polysilicon gate electrode (250) is silicided to form a silicided gate electrode (1310).
    Type: Grant
    Filed: May 20, 2004
    Date of Patent: February 27, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Jiong-Ping Lu, Gregory Shinn, Ping Jiang
  • Patent number: 7179739
    Abstract: Embodiments of the present invention include methods of forming a contact to a capacitor in a semiconductor device. A metal silicide layer is formed at a top surface of a conductive plug of the semiconductor device that is coupled to a bottom electrode of the capacitor to provide an ohmic contact therebetween. Forming a metal silicide layer may include exposing a surface of the conductive plug, depositing a metal layer of the bottom electrode on the exposed surface of the conductive plug and thermally processing the semiconductor device to react a part of the deposited metal layer and the conductive plug to form the metal silicide layer. Methods of forming a semiconductor device including a capacitor having a metal silicide layer connecting a bottom electrode of the capacitor and a conductive plug are also provided.
    Type: Grant
    Filed: June 8, 2004
    Date of Patent: February 20, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jeong-Sik Choi, Jung-Hee Chung, Woo-Gwan Shim, Young-Sun Kim, Jae-Hyoung Choi, Se-Hoon Oh, Cha-Young Yoo
  • Patent number: 7179732
    Abstract: An interconnection structure and a fabrication method thereof. A first organic low-k material layer, a stress redistribution layer, a second organic low-k dielectric layer are formed in sequence over a substrate, followed by forming an opening in the first organic low-k material layer, the stress redistribution layer, and the second organic low-k dielectric layer. The opening is then filled with a conductive material to form an interconnection structure. The stress redistribution layer has a heat expansion coefficient closer to that of the substrate, while such heat expansion coefficient differs more significantly from those of the first and second organic low-k material layers.
    Type: Grant
    Filed: July 15, 2004
    Date of Patent: February 20, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Chiung-Sheng Hsiung, Chih-Chao Yang, Gwo-Shil Yang, Ming-Shih Yeh, Jen-Kon Chen
  • Patent number: 7176132
    Abstract: There are provided a step of forming an insulating film over a semiconductor substrate, a step of exciting a plasma of a gas having a molecular structure in which hydrogen and nitrogen are bonded and then irradiating the plasma onto the insulating film, a step of forming a self-orientation layer made of substance having a self-orientation characteristic on the insulating film, and a step of forming a first conductive film made of conductive substance having the self-orientation characteristic on the self-orientation layer.
    Type: Grant
    Filed: October 29, 2003
    Date of Patent: February 13, 2007
    Assignee: Fujitsu Limited
    Inventors: Naoya Sashida, Katsuyoshi Matsuura, Yoshimasa Horii, Masaki Kurasawa, Kazuaki Takai
  • Patent number: 7172968
    Abstract: The present invention is directed to an alpha-W layer which is employed in interconnect structures such as trench capacitors or damascene wiring levels as a diffusion barrier layer. The alpha-W layer is a single phased material that is formed by a low temperature/pressure chemical vapor deposition process using tungsten hexacarbonyl, W(CO)6, as the source material.
    Type: Grant
    Filed: July 3, 2002
    Date of Patent: February 6, 2007
    Assignee: International Business Machines Corporation
    Inventors: Stephan Alan Cohen, Fenton Read McFeely, Cevdet Ismail Noyan, Kenneth Parker Rodbell, Robert Rosenberg, John Jacob Yurkas
  • Patent number: 7172962
    Abstract: On a substrate are sequentially formed a first interconnection 203, a diffusion barrier film 205 and a second insulating film 207, and on the upper surface of the second insulating film 207 is then formed a sacrificial film 213. Next, a via hole 211 and an interconnection trench 217 are formed, and on the sacrificial film 213 are then formed a barrier metal film 219 and a copper film 221. CMP for removing the extraneous copper film 221 and barrier metal film 219 are conducted in a two-step process, i. e., the first polishing where polishing is stopped on the surface of the barrier metal film 219 and the second polishing where the remaining barrier metal film 219 and the tapered sacrificial film 213 are polished.
    Type: Grant
    Filed: December 1, 2003
    Date of Patent: February 6, 2007
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Yoshio Okayama, Hayato Nakashima, Yoshinari Ichihashi
  • Patent number: 7166532
    Abstract: A method for forming a contact using a Cu line in semiconductor fabrication process is disclosed. An example method forms a dual damascene pattern by etching a pre-metal dielectric (PMD) layer formed on a substrate. The dual damascene pattern includes a contact hole portion located on the substrate and a trench portion located on the contact hole portion. The width of the contact hole portion is narrower than that of the trench portion. The example method deposits a tungsten diffusion barrier on sidewalls of the damascene pattern, fills the damascene pattern with tungsten by depositing tungsten on the tungsten diffusion barrier to form a tungsten layer and uses chemical mechanical polishing to polish a portion of the tungsten layer over the trench portion.
    Type: Grant
    Filed: November 13, 2003
    Date of Patent: January 23, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: In Kyu Chun
  • Patent number: 7163893
    Abstract: A high integrity, reliable liner is disclosed for a via in which a titanium aluminide layer is preformed as a lining within a via hole prior to deposition of other conductive materials within the via hole. The conductive materials deposited on the preformed titanium aluminide can be either a secondary barrier layer portion of the liner, such as a titanium compound layer, which in turn has a metal plug deposited thereon, or, alternatively, a metal plug directly deposited on the titanium aluminide layer. An important advantage achieved by the present invention is that a via is formed with a substantial elimination of void formation. The enhanced vias are useful in a wide variety of semiconductor devices, including SRAMS and DRAMs.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: January 16, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Shane P. Leiphart
  • Patent number: 7163892
    Abstract: There is provided a process for producing an integrated circuit, wherein not only can conductive fine particles be deposited efficiently and densely in minute wiring channels and connecting holes but also a circuit of low wiring resistance and high density can be formed and wherein a high-degree integration can be achieved to thereby bring about an economic advantage. In particular, there is provided a process for producing an integrated circuit, comprising coating a substrate provided with wiring channels with a coating liquid for integrated circuit formation containing conductive fine particles to thereby form an integrated circuit on the substrate, wherein the coating liquid for integrated circuit formation while being exposed to ultrasonic waves is applied to the wiring channels.
    Type: Grant
    Filed: April 23, 2001
    Date of Patent: January 16, 2007
    Assignee: Catalysts & Chemicals Industries Co., Ltd.
    Inventors: Atsushi Tonai, Toshiharu Hirai, Tsuguo Koyanagi, Masayuki Matsuda, Michio Komatsu
  • Patent number: 7160803
    Abstract: A method of forming a line a semiconductor device, including the steps of forming an interlayer insulating film on a semiconductor substrate in which predetermined structures are formed, forming a trench through which a predetermined region of the semiconductor substrate is exposed in the interlayer insulating film, sequentially forming a glue layer and a first barrier metal film on the entire surface including the trench, forming a second barrier metal film at the bottom of the trench, and forming a line within the trench.
    Type: Grant
    Filed: June 23, 2005
    Date of Patent: January 9, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventors: Tae Kyung Kim, Jik Ho Cho
  • Patent number: 7157378
    Abstract: A method for making a semiconductor device is described. That method comprises forming a dielectric layer on a substrate, forming a trench within the dielectric layer, and forming a high-k gate dielectric layer within the trench. After forming a first metal layer on the high-k gate dielectric layer, a second metal layer is formed on the first metal layer. At least part of the second metal layer is removed from above the dielectric layer using a polishing step, and additional material is removed from above the dielectric layer using an etch step.
    Type: Grant
    Filed: July 6, 2004
    Date of Patent: January 2, 2007
    Assignee: Intel Corporation
    Inventors: Justin K. Brask, Chris E. Barns, Mark L. Doczy, Uday Shah, Jack Kavalieros, Matthew V. Metz, Suman Datta, Anne E. Miller, Robert S. Chau
  • Patent number: 7144819
    Abstract: It is an object of the present invention to provide a method for manufacturing a highly reliable semiconductor device with preferable yield. In the invention, two-step etching is performed when selectively removing an interlayer insulating film with at least two layers constituting a semiconductor device, and forming an opening. One feature of the invention is that at least either one of a first gas (a first etching gas) and a second gas (a second etching gas) used at the time of the two-step etching is added with an inert gas.
    Type: Grant
    Filed: October 1, 2004
    Date of Patent: December 5, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Tomohiko Sato, Shigeharu Monoe, Shinya Sasagawa
  • Patent number: 7144808
    Abstract: The present invention provides, in one embodiment, method of forming a barrier layer 300 over a semiconductor substrate 110. The method comprises forming an opening 120 in an insulating layer 130 located over a substrate thereby uncovering an underlying copper layer 140. The method further comprises exposing the opening and the underlying copper layer to a plasma-free reducing atmosphere 200 in the presence of a thermal anneal. The also comprises depositing a barrier layer in the exposed opening and on the exposed underlying copper layer. Such methods and resulting conductive structures thereof may be advantageously used in methods to manufacture integrated circuits comprising copper interconnects.
    Type: Grant
    Filed: June 13, 2005
    Date of Patent: December 5, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Sanjeev Aggarwal, Kelly J. Taylor
  • Patent number: 7144806
    Abstract: An ALD method deposits conformal tantalum-containing material layers on small features of a substrate surface. The method includes the following principal operations: depositing a thin conformal and saturated layer of tantalum-containing precursor over some or all of the substrate surface; using an inert gas or hydrogen plasma to purge the halogen byproducts and unused reactants; reducing the precursor to convert it to a conformal layer of tantalum or tantalum-containing material; using another purge of inert gas or hydrogen plasma to remove the halogen byproducts and unused reactants; and repeating the deposition/reduction cycles until a desired tantalum-containing material layer is achieved. An optional step of treating each newly formed surface of tantalum containing material with a nitrogen-containing agent can be added to create varying amounts of tantalum nitride.
    Type: Grant
    Filed: October 23, 2002
    Date of Patent: December 5, 2006
    Assignee: Novellus Systems, Inc.
    Inventors: James A. Fair, Jungwan Sung, Nerissa Taylor
  • Patent number: 7138337
    Abstract: Described are methods of manufacturing a semiconductor device with tungsten contacts between two conductive layers on different interconnect levels. A barrier adhesion layer is formed over interconnect openings followed by a tungsten nucleation film being deposited at a nucleation temperature and a tungsten bulk deposition film being deposited at a bulk deposition temperature, wherein the nucleation temperature is higher than the bulk deposition temperature such that the difference between the nucleation temperature and the bulk deposition temperature improves tungsten gap-fill capability.
    Type: Grant
    Filed: November 30, 2004
    Date of Patent: November 21, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Su-Horng Lin