Having Refractory Group Metal (i.e., Titanium (ti), Zirconium (zr), Hafnium (hf), Vanadium (v), Niobium (nb), Tantalum (ta), Chromium (cr), Molybdenum (mo), Tungsten (w), Or Alloy Thereof) Patents (Class 438/656)
  • Patent number: 7135396
    Abstract: Methods of making a semiconductor structure are disclosed. A refractory metal layer containing W, TiW, Ta, or TaN and semiconductor layer are formed on a substrate that contains copper in, for example, a via therein. A portion of the refractory metal layer and semiconductor layer is removed by etching using a fluorine-containing compound. By using W, TiW, Ta, or TaN as the refractory metal layer material and employing fluorine-based etching, the copper portion in the substrate is not substantially etched, thus preventing corrosion of the copper portion.
    Type: Grant
    Filed: September 13, 2004
    Date of Patent: November 14, 2006
    Assignees: Spansion LLC, Advanced Micro Devices, Inc.
    Inventors: Calvin T. Gabriel, Jeffrey Shields
  • Patent number: 7129160
    Abstract: A method and apparatus for simultaneously removing conductive materials from a microelectronic substrate. A method in accordance with one embodiment of the invention includes contacting a surface of a microelectronic substrate with an electrolytic liquid, the microelectronic substrate having first and second different conductive materials. The method can further include controlling a difference between a first open circuit potential of the first conducive material and a second open circuit potential of the second conductive material by selecting a pH of the electrolytic liquid. The method can further include simultaneously removing at least portions of the first and second conductive materials by passing a varying electrical signal through the electrolytic liquid and the conductive materials. Accordingly, the effects of galvanic interactions between the two conductive materials can be reduced and/or eliminated.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: October 31, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Dinesh Chopra
  • Patent number: 7125800
    Abstract: In the fabrication of integrated circuits, one specific technique for making surfaces flat is chemical-mechanical planarization. However, this technique is quite time consuming and expensive, particularly as applied to the numerous intermetal dielectric layers—the insulative layers sandwiched between layers of metal wiring—in integrated circuits. Accordingly, the inventor devised several methods for making nearly planar intermetal dielectric layers without the use of chemical-mechanical planarization and methods of modifying metal layout patterns to facilitate formation of dielectric layers with more uniform thickness. These methods of modifying metal layouts and making dielectric layers can be used in sequence to yield nearly planar intermetal dielectric layers with more uniform thickness.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: October 24, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Werner Juengling
  • Patent number: 7122464
    Abstract: A method of forming (and apparatus for forming) refractory metal nitride layers (including silicon nitride layers), such as a tantalum (silicon) nitride barrier layer, on a substrate by using a vapor deposition process with a refractory metal precursor compound, a disilazane, and an optional silicon precursor compound.
    Type: Grant
    Filed: August 30, 2004
    Date of Patent: October 17, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Brian A. Vaartstra
  • Patent number: 7122469
    Abstract: With a view to preventing the oxidation of a metal film at the time of light oxidation treatment after gate patterning and at the same time to making it possible to control the reproducibility of oxide film formation and homogeneity of oxide film thickness at gate side-wall end portions, in a gate processing step using a poly-metal, a gate electrode is formed by patterning a gate electrode material which has been deposited over a semiconductor wafer 1A having a gate oxide film formed thereon and has a poly-metal structure and then, the principal surface of the semiconductor wafer 1A heated to a predetermined temperature or vicinity thereof is supplied with a hydrogen gas which contains water at a low concentration, the water having been formed from hydrogen and oxygen by a catalytic action, to selectively oxidize the principal surface of the semiconductor wafer 1A, whereby the profile of the side-wall end portions of the gate electrode is improved
    Type: Grant
    Filed: August 4, 2005
    Date of Patent: October 17, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Yoshikazu Tanabe, Isamu Asano, Makoto Yoshida, Naoki Yamamoto, Masayoshi Saito, Nobuyoshi Natsuaki
  • Patent number: 7122410
    Abstract: By maintaining the gate electrode covered during the process flow for forming metal silicide regions in the drain and source of a field effect transistor, an appropriate metal silicide may be formed on the gate electrode which meets the requirement for aggressive gate length scaling. Preferably, a nickel silicide is formed on the gate electrode, whereas the drain and source regions receive the well-established cobalt disilicide. Additionally, the gate electrode dopant profile is effectively decoupled from the drain and source dopant profile.
    Type: Grant
    Filed: March 2, 2004
    Date of Patent: October 17, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thorsten Kammler, Karsten Wieczorek, Matthias Schaller
  • Patent number: 7115498
    Abstract: A method of fabricating an integrated circuit can include forming a barrier layer along lateral side walls and a bottom of a via aperture, forming a seed layer proximate and conformal to the barrier layer, and ion implanting elements into the seed layer. The via aperture is configured to receive a via material that electrically connects a first conductive layer and a second conductive layer.
    Type: Grant
    Filed: March 18, 2004
    Date of Patent: October 3, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Ercan Adem
  • Patent number: 7115494
    Abstract: A method and system to reduce the resistance of refractory metal layers by controlling the presence of fluorine contained therein. The present invention is based upon the discovery that when employing ALD techniques to form refractory metal layers on a substrate, the carrier gas employed impacts the presence of fluorine in the resulting layer. As a result, the method features chemisorbing, onto the substrate, alternating monolayers of a first compound and a second compound, with the second compound having fluorine atoms associated therewith, with each of the first and second compounds being introduced into the processing chamber along with a carrier gas to control a quantity of the fluorine atoms associated with the monolayer of the second compound.
    Type: Grant
    Filed: January 24, 2006
    Date of Patent: October 3, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Ashok Sinha, Ming Xi, Moris Kori, Alfred W. Mak, Jeong Soo Byun, Lawrence Chung-Lai Lei, Hua Chung
  • Patent number: 7112528
    Abstract: The present invention generally provides a metallization process for forming a highly integrated interconnect. More particularly, the present invention provides a dual damascene interconnect module that incorporates selective chemical vapor deposition aluminum (CVD Al) via fill with a metal wire, preferably copper, formed within a barrier layer. The invention provides the advantages of having copper wires with lower resistivity (greater conductivity) and greater electromigration resistance than aluminum, a barrier layer between the copper wire and the surrounding dielectric material, void-free, sub-half micron selective CVD Al via plugs, and a reduced number of process steps to achieve such integration.
    Type: Grant
    Filed: February 13, 2003
    Date of Patent: September 26, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Liang-Yuh Chen, Ted Guo, Roderick Craig Mosley, Fusen Chen
  • Patent number: 7109115
    Abstract: Various embodiments of the invention described herein reduce contact resistance to a silicon-containing material using a first refractory metal material overlying the silicon-containing material and a second refractory metal material overlying the first refractory metal material. Each refractory metal material is a conductive material containing a refractory metal and an impurity. The first refractory metal material is a metal-rich material, containing a level of its impurity at less than a stoichiometric level. The second refractory metal material has a lower affinity for the impurities than does the first refractory metal material. The second refractory metal material can thus serve as an impurity donor during an anneal or other exposure to heat.
    Type: Grant
    Filed: March 4, 2005
    Date of Patent: September 19, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Ravi Iyer, Yongjun Jeff Hu, Luan Tran, Brent Gilgen
  • Patent number: 7105444
    Abstract: In a method for forming a wiring of a semiconductor device using an atomic layer deposition, an insulating interlayer is formed on a substrate. Tantalum amine derivatives represented by a chemical formula Ta(NR1)(NR2R3)3 in which R1, R2 and R3 represent H or C1–C6 alkyl group are introduced onto the insulating interlayer. A portion of the tantalum amine derivatives is chemisorbed on the insulating interlayer. The rest of tantalum amine derivatives non-chemisorbed on the insulating interlayer is removed from the insulating interlayer. A reacting gas is introduced onto the insulating interlayer. A ligand in the tantalum amine derivatives chemisorbed on the insulating interlayer is removed from the tantalum amine derivatives by a chemical reaction between the reacting gas and the ligand to form a solid material including tantalum nitride. The solid material is accumulated on the insulating interlayer through repeating the above processes to form a wiring.
    Type: Grant
    Filed: May 28, 2004
    Date of Patent: September 12, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyung-In Choi, Sang-Bom Kang, Seong-Geon Park, You-Kyoung Lee, Gil-Heyun Choi, Jong-Myeong Lee, Sang-Woo Lee
  • Patent number: 7101795
    Abstract: A method and system to form a refractory metal layer on a substrate features nucleating a substrate using sequential deposition techniques in which the substrate is serially exposed to first and second reactive gases followed by forming a layer, employing vapor deposition, to subject the nucleation layer to a bulk deposition of a compound contained in one of the first and second reactive gases.
    Type: Grant
    Filed: October 3, 2000
    Date of Patent: September 5, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Ming Xi, Ashok Sinha, Moris Kori, Alfred W. Mak, Xinliang Lu, Ken Kaung Lai, Karl A. Littau
  • Patent number: 7098131
    Abstract: Atomic layers can be formed by introducing a tantalum amine derivative reactant onto a substrate, wherein the tantalum amine derivative has a formula: Ta(NR1)(NR2R3)3, wherein R1, R2 and R3 are each independently H or a C1–C6 alkyl functional group, chemisorbing a portion of the reactant on the substrate, removing non-chemisorbed reactant from the substrate and introducing a reacting gas onto the substrate to form a solid material on the substrate. Thin films comprising tantalum nitride (TaN) are also provided.
    Type: Grant
    Filed: May 28, 2004
    Date of Patent: August 29, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Bom Kang, Byung-Hee Kim, Kyung-In Choi, Gil-Heyun Choi, You-Kyoung Lee, Seong-Geon Park
  • Patent number: 7094680
    Abstract: A method of forming a tantalum nitride layer for integrated circuit fabrication is disclosed. In one embodiment, the method includes forming a tantalum nitride layer by chemisorbing a tantalum precursor and a nitrogen precursor on a substrate disposed in a process chamber. A nitrogen concentration of the tantalum nitride layer is reduced by exposing the substrate to a plasma annealing process. A metal-containing layer is then deposited on the tantalum nitride layer by a deposition process.
    Type: Grant
    Filed: March 23, 2005
    Date of Patent: August 22, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Sean M. Seutter, Michael X. Yang, Ming Xi
  • Patent number: 7091087
    Abstract: A flash memory comprising floating gate devices being connected to one-another through their source electrodes being self-aligned to their respective gate electrodes, a local tungsten interconnect making a substantially continuous connection to the sources.
    Type: Grant
    Filed: February 26, 2004
    Date of Patent: August 15, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Kelly T. Hurley
  • Patent number: 7091121
    Abstract: A bumping process mainly comprises the following steps. Initially, a wafer having a plurality of bonding pads and a passivation layer, which exposes the bonding pads, is provided. Next, a first dielectric layer is disposed on the wafer so as to form a plurality of first openings and second openings. The first openings and the second openings expose the bonding pads and the passivation layer respectively. Afterward, a patterned first electrically conductive layer is formed on the first dielectric layer, the bonding pads and the passivation layer exposed out of the first dielectric layer through the second openings. Then, a second patterned conductive layer is formed directly on the first patterned conductive layer.
    Type: Grant
    Filed: January 9, 2004
    Date of Patent: August 15, 2006
    Assignee: Advanced Semiconductor Engineering, Inc.
    Inventor: Ching-Fu Horng
  • Patent number: 7081409
    Abstract: In a method for forming a gate electrode, a dielectric layer having a high dielectric constant is formed on a substrate. Tantalum amine derivatives represented by a chemical formula Ta(NR1)(NR2R3)3 in which R1, R2 and R3 represent H or C1–C6 alkyl group are introduced onto the dielectric layer to form a tantalum nitride layer. A capacitor metal layer or a gate metal layer is formed on the tantalum nitride layer. The capacitor metal layer or the gate metal layer and the tantalum nitride layer are patterned to form a capacitor electrode or a gate electrode. The tantalum amine derivatives are used in forming a dual gate electrode.
    Type: Grant
    Filed: June 25, 2004
    Date of Patent: July 25, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Bom Kang, Jong-Myeong Lee, Kyung-In Choi, Gil-Heyun Choi, You-Kyoung Lee, Seong-Geon Park, Sang-Woo Lee
  • Patent number: 7078342
    Abstract: A method for forming a gate stack which minimizes or eliminates damage to the gate dielectric layer and/or silicon substrate during the gate stack formation by the reduction of the temperature during formation. The temperature reduction prevents the formation of silicon clusters within the metallic silicide film in the gate stack which has been found to cause damage during the gate etch step. The present invention also includes methods for dispersing silicon clusters prior to the gate etch step.
    Type: Grant
    Filed: May 6, 1998
    Date of Patent: July 18, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Pai-Hung Pan, Louie Liù, Ravi Iyer
  • Patent number: 7078327
    Abstract: A semiconductor structure is provided comprising a self-aligned poly-metal stack formed over a semiconductor substrate where the interface between an oxidation barrier placed over the stack and an oxidized portion of the stack lies along the sidewall of the poly-metal stack. A semiconductor structure is also provided where an etch stop layer is present in the poly region of the poly-metal stack. The present invention also relates more broadly to a memory cell array and a computer system including the poly-metal stack of the present invention.
    Type: Grant
    Filed: August 1, 2003
    Date of Patent: July 18, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Kishnu K. Agarwal
  • Patent number: 7074665
    Abstract: An implantation step of a dopant ion for forming source and drain regions (S and D) is divided into one implantation of a dopant ion for forming a p/n junction with a well region (3), and one implantation of a dopant ion that does not influence a position of the p/n junction between the source and drain regions (S and D) and the well region with a shallow implantation depth and a large implantation amount. After conducting an activation heat treatment of the dopant, a surface of the source/drain region is made into cobalt silicide 12, so that the source/drain region (S and D) can have a low resistance, and a p/n junction leakage can be reduced.
    Type: Grant
    Filed: November 6, 2003
    Date of Patent: July 11, 2006
    Assignees: Hitachi, Ltd., Hitachi ULSI Systems Co., Ltd.
    Inventors: Shinichi Fukada, Naotaka Hashimoto, Masanori Kojima, Hiroshi Momiji, Hiromi Abe, Masayuki Suzuki
  • Patent number: 7070687
    Abstract: Apparatus and method for treating a surface of a substrate for electrolytic or electroless plating of metals in integrated circuit manufacturing. In one embodiment the method includes forming a barrier layer on a substrate. A metal-seed layer is then formed on the barrier layer. The method continues by performing in situ surface treatment of the metal-seed layer to form a passivation layer on the metal-seed layer. In another embodiment of a method of this invention, a substrate is provided into an electroplating tool chamber. The substrate has a barrier layer formed thereon, a metal seed layer formed on the barrier layer and a passivation layer formed over the metal seed layer. The method continues by annealing the substrate in forming gas to reduce the passivation layer. A conductive material is deposited on the substrate using an electrolytic plating or electroless plating process.
    Type: Grant
    Filed: August 14, 2001
    Date of Patent: July 4, 2006
    Assignee: Intel Corporation
    Inventors: Vinay B. Chikarmane, Chi-Hwa Tsang
  • Patent number: 7067422
    Abstract: A method for forming a tantalum-containing gate electrode structure by providing a substrate having a high-k dielectric layer thereon in a process chamber and forming a tantalum-containing layer on the high-k dielectric layer in a thermal chemical vapor deposition process by exposing the substrate to a process gas containing TAIMATA (Ta(N(CH3)2)3(NC(C2H5)(CH3)2)) precursor gas. In one embodiment of the invention, the tantalum-containing layer can include a TaSiN layer formed from a process gas containing TAIMATA precursor gas, a silicon containing gas, and optionally a nitrogen-containing gas. In another embodiment of the invention, a TaN layer is formed on the TaSiN layer. The TaN layer can be formed from a process gas containing TAIMATA precursor gas and optionally a nitrogen-containing gas. A computer readable medium executable by a processor to cause a processing system to perform the method and a processing system for forming a tantalum-containing gate electrode structure are also provided.
    Type: Grant
    Filed: March 31, 2004
    Date of Patent: June 27, 2006
    Assignees: Tokyo Electron Limited, International Business Machines Corporation
    Inventors: Kazuhito Nakamura, Hideaki Yamasaki, Yumiko Kawano, Gert J. Leusink, Fenton R. McFeely, John J. Yurkas, Vijay Narayanan
  • Patent number: 7053007
    Abstract: A method for fabricating a semiconductor integrated circuit device of the invention comprises feeding oxidation species containing a low concentration of water, which is generated from hydrogen and oxygen by the catalytic action, to the main surface of or in the vicinity of a semiconductor wafer, and forming a thin oxide film serving as a gate insulating film of an MOS transistor and having a thickness of 5 nm or below on the main surface of the semiconductor wafer at an oxide film-growing rate sufficient to ensure fidelity in formation of an oxide film and uniformity in thickness of the oxide film.
    Type: Grant
    Filed: May 19, 2005
    Date of Patent: May 30, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Yoshikazu Tanabe, Satoshi Sakai, Nobuyoshi Natsuaki
  • Patent number: 7045456
    Abstract: Methods are presented for fabricating transistor gate structures, wherein upper and lower metal suicides are formed above a gate dielectric. In one example, the lower silicide is formed by depositing a thin first silicon-containing material over the gate dielectric, which is implanted and then reacted with a first metal by annealing to form the lower silicide. A capping layer can be formed over the first metal prior to annealing, to prevent oxidation of the metal prior to silicidation, and a barrier layer can be formed over the lower silicide to prevent reaction with subsequently formed silicon material. In another example, the lower silicide is a multilayer silicide structure including a plurality of metal silicide sublayers.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: May 16, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Robert William Murto, Luigi Colombo, Mark Robert Visokay
  • Patent number: 7037830
    Abstract: A physical vapor deposition sputtering process for enhancing the <0002> preferred orientation of a titanium layer uses hydrogen before or during the deposition process. Using the oriented titanium layer as a base layer for a titanium, titanium nitride, aluminum interconnect stack results in formation of an aluminum layer with predominant <111> crystallographic orientation which provides enhanced resistance to electromigration. In one process, a mixture of an inert gas, usually argon, and hydrogen is used as the sputtering gas for PVD deposition of titanium in place of pure argon. Alternatively, titanium is deposited in a two-step process in which an initial burst of hydrogen is introduced into the reaction chamber in a separate, first step. Pure argon is used as the sputtering gas for the titanium deposition in a second step. The method is broadly applicable to the deposition of metallization layers.
    Type: Grant
    Filed: September 29, 2000
    Date of Patent: May 2, 2006
    Assignee: Novellus Systems, Inc.
    Inventors: Michael Rumer, Jack Griswold, Tom Dorsh, Michael Kwok Leung Ng, David E. Reedy, Paul D. Healey, Michal Danek, Reed W. Rosenberg
  • Patent number: 7037766
    Abstract: An active matrix substrate comprises a matrix array of TFTs. A double-layered film includes an under-layer of aluminum-neodymium (Al—Nd) alloy and an over-layer of high melting point metal. The double-layered film forms first interconnection lines for connection to the TFTs. A triple-layered film includes an under-layer of said high melting point metal, a middle-layer of said Al—Nd alloy and an over-layer of the high melting point metal. The triple-layered film forms second interconnection lines for connection to the TFTs.
    Type: Grant
    Filed: March 4, 2004
    Date of Patent: May 2, 2006
    Assignee: NEC LCD Technologies, Inc.
    Inventors: Akitoshi Maeda, Hiroaki Tanaka, Shigeru Kimura, Satoshi Kimura
  • Patent number: 7033931
    Abstract: A physical vapor deposition process for maintaining the wafer below a critical temperature. The rate at which material particles are sputtered from the target and thus deposited on the wafer is controllable in response to power supplied to the target. Maintaining a desired deposition rate maintains the wafer temperature below the critical temperature.
    Type: Grant
    Filed: August 1, 2003
    Date of Patent: April 25, 2006
    Assignee: Agere Systems Inc.
    Inventors: Maxwell W. Lippitt, III, Craig G. Clabough, Joseph W. Buckfeller, Timothy J. Daniel
  • Patent number: 7033939
    Abstract: Titanium-containing films exhibiting excellent uniformity and step coverage are deposited on semiconductor wafers in a cold wall reactor which has been modified to discharge plasma into the reaction chamber. Titanium tetrabromide, titanium tetraiodide, or titanium tetrachloride, along with hydrogen, enter the reaction chamber and come in contact with a heated semiconductor wafer, thereby depositing a thin titanium-containing film on the wafer's surface. Step coverage and deposition rate are enhanced by the presence of the plasma. The use of titanium tetrabromide or titanium tetraiodide instead of titanium tetrachloride also increases the deposition rate and allows for a lower reaction temperature. Titanium silicide and titanium nitride can also be deposited by this method by varying the gas incorporated with the titanium precursors.
    Type: Grant
    Filed: August 6, 2004
    Date of Patent: April 25, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Sujit Sharan, Howard E. Rhodes, Philip J. Ireland, Gurtej S. Sandhu
  • Patent number: 7033922
    Abstract: A method and system to reduce the resistance of refractory metal layers by controlling the presence of fluorine contained therein. The present invention is based upon the discovery that when employing ALD techniques to form refractory metal layers on a substrate, the carrier gas employed impacts the presence of fluorine in the resulting layer. As a result, the method features chemisorbing, onto the substrate, alternating monolayers of a first compound and a second compound, with the second compound having fluorine atoms associated therewith, with each of the first and second compounds being introduced into the processing chamber along with a carrier gas to control a quantity of the fluorine atoms associated with the monolayer of the second compound.
    Type: Grant
    Filed: September 29, 2004
    Date of Patent: April 25, 2006
    Assignee: Applied Materials. Inc.
    Inventors: Moris Kori, Alfred W. Mak, Jeong Soo Byun, Lawrence Chung-Lai Lei, Hua Chung, Ashok Sinha, Ming Xi
  • Patent number: 7029937
    Abstract: A depression is formed from a first surface of a semiconductor substrate. An insulating layer is provided on the bottom surface and an inner wall surface of the depression. A conductive portion is provided inside the insulating layer. A second surface of the semiconductor substrate is etched by a first etchant having characteristics such that the etching amount with respect to the semiconductor substrate is greater than the etching amount with respect to the insulating layer, and the conductive portion is caused to project while covered by the insulating layer. At least a portion of the insulating layer formed on the bottom surface of the depression is etched with a second etchant having characteristics such that at least the insulating layer is etched without forming a residue on the conductive portion, to expose the conductive portion.
    Type: Grant
    Filed: November 10, 2003
    Date of Patent: April 18, 2006
    Assignee: Seiko Epson Corporation
    Inventor: Ikuya Miyazawa
  • Patent number: 7026243
    Abstract: A method of forming a conductive metal silicide by reaction of metal with silicon is described. A method includes providing a semiconductor substrate with an exposed elemental silicon-containing surface. At least one of a nitride, boride, carbide, or oxide-comprising layer is atomic layer deposited onto the exposed elemental silicon-containing surface to a thickness no greater than 15 Angstroms. This ALD-deposited layer is exposed to plasma and a conductive reaction layer including at least one of an elemental metal or metal-rich silicide is deposited onto the plasma-exposed layer. Metal of the conductive reaction layer is reacted with elemental silicon of the substrate effective to form a conductive metal silicide-comprising contact region electrically connecting the conductive reaction layer with the substrate. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: October 20, 2003
    Date of Patent: April 11, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Cem Basceri
  • Patent number: 7015138
    Abstract: A multi-layered barrier metal thin film is deposited on a substrate by atomic layer chemical vapor deposition (ALCVD). The multi-layer film may comprise several different layers of a single chemical species, or several layers each of distinct or alternating chemical species. In a preferred embodiment, the multi-layer barrier thin film comprises a Tantalum Nitride layer on a substrate, with a Titanium Nitride layer deposited thereon. The thickness of the entire multi-layer film may be approximately fifty Angstroms. The film has superior film characteristics, such as anti-diffusion capability, low resistivity, high density, and step coverage, when compared to films deposited by conventional chemical vapor deposition (CVD). The multi-layered barrier metal thin film of the present invention has improved adhesion characteristics and is particularly suited for metallization of a Copper film thereon.
    Type: Grant
    Filed: March 27, 2001
    Date of Patent: March 21, 2006
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Wei Pan, Yoshi Ono, David R. Evans, Sheng Teng Hsu
  • Patent number: 7012029
    Abstract: In a method of forming an electrically conductive lamination pattern, an insulating film is formed on a surface of a chromium-containing bottom layer, before an aluminum-containing top layer is formed over the insulating film, so that the insulating film separates the aluminum-containing top layer from the chromium-containing bottom layer. A first selective wet etching process is carried out for selectively etching the aluminum-containing top layer with a first etchant. A second selective wet etching process is carried out for selectively etching the chromium-containing bottom layer with a second etchant in the presence the insulating film which suppresses a hetero-metal-contact-potential-difference between the chromium-containing bottom layer and the aluminum-containing top layer during the second selective wet etching process.
    Type: Grant
    Filed: December 29, 2003
    Date of Patent: March 14, 2006
    Assignee: NEC LCD Technologies, Ltd.
    Inventors: Tsuyoshi Katoh, Syuusaku Kido, Akitoshi Maeda
  • Patent number: 7005372
    Abstract: Methods for depositing a tungsten nitride layer are described. The methods form a tungsten nitride layer using a carefully controlled deposition technique such as pulsed nucleation layer (PNL). Initially, a tungsten layer is formed on a substrate surface. The tungsten layer is then exposed to a nitriding agent to form a tungsten nitride layer. Methods of forming relatively thick layers of involve repeated cycles of contact with reducing agent, tungsten precursor and nitriding agent. In some cases, the cycle may also include contact with a dopant precursor such as phosphine or arsine.
    Type: Grant
    Filed: October 20, 2003
    Date of Patent: February 28, 2006
    Assignee: Novellus Systems, Inc.
    Inventors: Karl B. Levy, Junghwan Sung, Kaihan A. Ashtiani, James A. Fair, Joshua Collins, Juwen Gao
  • Patent number: 6995081
    Abstract: A method of forming (and apparatus for forming) tantalum suicide layers (including tantalum silicon nitride layers), which are typically useful as diffusion barrier layers, on a substrate by using a vapor deposition process with a tantalum halide precursor compound, a silicon precursor compound, and an optional nitrogen precursor compound.
    Type: Grant
    Filed: August 28, 2002
    Date of Patent: February 7, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Brian A. Vaartstra
  • Patent number: 6992005
    Abstract: A semiconductor device having a multi-layered wiring structure containing a copper layer, comprises a first insulating film formed over a semiconductor substrate, a first copper pattern buried in the first insulating film, a cap layer formed on the first copper pattern and the first insulating film and made of a substance a portion of which formed on the first copper pattern has a smaller electrical resistance value than a portion formed on the first insulating film, second insulating films formed on the cap layer, and a second copper pattern buried in a hole or a trench, which is formed in the second insulating films on the first copper pattern; and connected electrically to the first copper pattern via the cap layer.
    Type: Grant
    Filed: April 7, 2004
    Date of Patent: January 31, 2006
    Assignee: Fujitsu Limited
    Inventors: Nobuyuki Ohtsuka, Noriyoshi Shimizu, Hisaya Sakai, Yoshiyuki Nakao, Hiroki Kondo, Takashi Suzuki
  • Patent number: 6974768
    Abstract: A process for enhancing the adhesion of directly plateable materials to an underlying dielectric is demonstrated, so as to withstand damascene processing. Using diffusion barriers onto which copper can be deposited facilitates conventional electrolytic processing. An ultra-thin adhesion layer is applied to a degassed, pre-cleaned substrate. The degassed and pre-cleaned substrate is exposed to a precursor gas containing the adhesion layer, optionally deposited by a plasma-assisted CVD process, resulting in the deposition of an adhesion layer inside the exposed feature. The treated wafer is then coated with a diffusion barrier material, such as ruthenium, so that the adhesion layer reacts with incoming diffusion barrier atoms. The adhesion layer may be selectively bias-sputter etched prior to the deposition of the diffusion barrier layer. A copper layer is then deposited on the diffusion barrier layer.
    Type: Grant
    Filed: January 15, 2003
    Date of Patent: December 13, 2005
    Assignee: Novellus Systems, Inc.
    Inventor: Sridhar K. Kailasam
  • Patent number: 6972254
    Abstract: A manufacturing method for an integrated circuit has a substrate with a semiconductor device thereon. A channel dielectric layer is deposited over the device and has an opening provided therein. A reducing process is performed in order to reduce the oxidation on the conductor and a conformal atomic liner is deposited in an atomic layer thickness to line the opening in the channel dielectric layer. A barrier layer is deposited over the conformal atomic liner and a seed layer is deposited over the barrier layer. A conductor core layer is deposited on the seed layer, filling the opening over the barrier layer and connecting to the semiconductor device.
    Type: Grant
    Filed: December 20, 2002
    Date of Patent: December 6, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Sergey D. Lopatin, Minh Van Ngo
  • Patent number: 6967159
    Abstract: A method of forming (and apparatus for forming) refractory metal nitride layers (including silicon nitride layers), such as a tantalum nitride barrier layer, on a substrate by using an atomic layer deposition process (a vapor deposition process that includes a plurality of deposition cycles) with a refractory metal precursor compound, an organic amine, and an optional silicon precursor compound.
    Type: Grant
    Filed: August 28, 2002
    Date of Patent: November 22, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Brian A. Vaartstra
  • Patent number: 6955986
    Abstract: A process produces a layer of material which functions as a copper barrier layer, adhesion layer and a copper seed layer in a device of an integrated circuit, particularly in damascene or dual damascene structures. The method includes a step of depositing a diffusion barrier layer over a dielectric, a step of depositing a layer of graded metal alloy of two or more metals, and a step of depositing a copper seed layer, which step is essentially a part of the step of depositing the alloy layer.
    Type: Grant
    Filed: March 27, 2003
    Date of Patent: October 18, 2005
    Assignee: ASM International N.V.
    Inventor: Wei-Min Li
  • Patent number: 6953743
    Abstract: A contact structure is provided incorporating an amorphous titanium nitride barrier layer formed via low-pressure chemical vapor deposition (LPCVD) utilizing tetrakis-dialkylamido-titanium, Ti(NMe2)4, as the precursor. The contact structure is fabricated by etching a contact opening through a dielectric layer down to a diffusion region to which electrical contact is to be made. Titanium metal is deposited over the surface of the wafer so that the exposed surface of the diffusion region is completely covered by a layer of the metal. At least a portion of the titanium metal layer is eventually converted to titanium silicide, thus providing an excellent conductive interface at the surface of the diffusion region. A titanium nitride barrier layer is then deposited using the LPCVD process, coating the walls and floor of the contact opening. Chemical vapor deposition of polycrystalline silicon or of a metal follows.
    Type: Grant
    Filed: August 8, 2003
    Date of Patent: October 11, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Trung T. Doan, Tyler A. Lowrey
  • Patent number: 6951804
    Abstract: A method of forming a tantalum-nitride layer (204) for integrated circuit fabrication is disclosed. Alternating or co-reacting pulses of a tantalum containing precursor and a nitrogen containing precursor are provided to a chamber (100) to form layers (305, 307) of tantalum and nitrogen. The nitrogen precursor may be a plasma gas source. The resultant tantalum-nitride layer (204) may be used, for example, as a barrier layer. As barrier layers may be used with metal interconnect structures (206), at least one plasma anneal on the tantalum-nitride layer may be performed to reduce its resistivity and to improve film property.
    Type: Grant
    Filed: February 2, 2001
    Date of Patent: October 4, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Sean M. Seutter, Michael X. Yang, Ming Xi
  • Patent number: 6949417
    Abstract: In manufacturing an active panel of a liquid crystal display, when a pad portion to which outer driving signals are applied is formed, oxide or nitride layer is generated on the surface of the pads. Since these oxide and nitride layers have a high intrinsic resistance, they cause a reliability of the signal transmission in the pad portion to be decreased. The present invention provides a method for enhancing the reliability of the signal transmission in the pad portion by removing contaminants such as oxide layer and nitride layer and reducing the contact resistance of the pad portion. The present inversion also provides a method for maintaining a good adhesion by forming a surface of the pad portion in an uneven shape and by increasing the contact area. The pad is formed from dual metal layer made by depositing sequentially a first metal layer and a second metal layer.
    Type: Grant
    Filed: May 9, 2000
    Date of Patent: September 27, 2005
    Assignee: LG. Philips LCD Co., Ltd.
    Inventor: Byung Chol Ahn
  • Patent number: 6949434
    Abstract: A method of manufacturing a vertical semiconductor device includes preparing a semiconductor wafer which has a heavily doped semiconductor substrate and a lightly doped semiconductor layer disposed over the semiconductor substrate, forming a semiconductor element at a surface portion of the semiconductor layer, forming a first metal layer for a first electrode of the semiconductor element over the surface portion of the semiconductor layer, grinding a back of the semiconductor substrate to thin the semiconductor substrate and roughen a back surface of the semiconductor substrate, performing a wet etching upon the back surface; and forming on the back surface a second metal layer for a second electrode of the semiconductor element.
    Type: Grant
    Filed: June 29, 2004
    Date of Patent: September 27, 2005
    Assignee: Denso Corporation
    Inventors: Yoshifumi Okabe, Masami Yamaoka, Akira Kuroyanagi
  • Patent number: 6949457
    Abstract: A method of forming an electrically conductive via. A first electrically conductive layer is formed, and a second layer is formed on the first layer. The second layer has desired barrier layer properties. A third non electrically conductive layer is formed on the second layer. A via hole is etched through the third layer, thereby exposing a portion of the second layer at the bottom of the via hole. The exposed portion of the second layer at the bottom of the via hole is redistributed so that at least a portion of the second layer is removed from the bottom of the via hole and deposited on lower portions of the sidewalls of the via hole. A fourth electrically conductive layer is formed within the via hole to form the electrically conductive via.
    Type: Grant
    Filed: January 21, 2004
    Date of Patent: September 27, 2005
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Robert W. Fiordalice, Faivel Pintchovski
  • Patent number: 6946393
    Abstract: A first layer of titanium nitride (TiN) is formed on a semiconductor structure, such as an interconnect via. Then, a second layer of TiN is formed on the first layer of TiN. The first layer of TiN is amorphous. The second layer of TiN is polycrystalline, having a mixed grain orientation. Finally, an aluminum film is formed on the second layer of titanium nitride. Optionally, a titanium silicide layer is formed on the semiconductor structure prior to the step of forming the first layer of titanium nitride. Interconnects formed according to the invention have polycrystalline aluminum films with grain sizes of approximately less than 0.25 microns.
    Type: Grant
    Filed: February 13, 2001
    Date of Patent: September 20, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Wing-Cheong Gilbert Lai, Gurtej Singh Sandhu
  • Patent number: 6943110
    Abstract: A cluster tool and a number of different processes for making a cobalt-silicide material are disclosed. Combinations of alloyed layers of Co—Ti—along with layers of Co—are arranged and heat treated so as to effectuate a silicide reaction. The resulting structures have extremely low resistance, and show little line width dependence, thus making them particularly attractive for use in semiconductor processing. A cluster tool is configured with appropriate sputter targets/heat assemblies to implement many of the needed operations for the silicide reactions, thus resulting in higher savings, productivity, etc.
    Type: Grant
    Filed: August 13, 2003
    Date of Patent: September 13, 2005
    Assignee: United Microelectronics, Corp.
    Inventors: Water Lur, David Lee, Kuang-Chih Wang
  • Patent number: 6943097
    Abstract: The present invention provides metallic films containing a Group IVB or VB metal, silicon and optionally nitrogen by utilizing atomic layer deposition (ALD). In particularly, the present invention provides a low temperature thermal ALD method of forming metallic silicides and a plasma-enhanced atomic layer deposition (PE-ALD) method of forming metallic silicon nitride film. The methods of the present invention are capable of forming metallic films having a thickness of a monolayer or less on the surface of a substrate. The metallic films provided in the present invention can be used for contact metallization, metal gates or as a diffusion barrier.
    Type: Grant
    Filed: August 19, 2003
    Date of Patent: September 13, 2005
    Assignee: International Business Machines Corporation
    Inventors: Cyril Cabral, Jr., Hyungjun Kim, Stephen M. Rossnagel
  • Patent number: 6939795
    Abstract: The invention describes a method for the selective dry etching of tantalum and tantalum nitride films. Tantalum nitride layers (30) are often used in semiconductor manufacturing. The semiconductor substrate is exposed to a reducing plasma chemistry which passivates any exposed copper (40). The tantalum nitride films are selectively removed using an oxidizing plasma chemistry.
    Type: Grant
    Filed: September 23, 2002
    Date of Patent: September 6, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Mona M. Eissa, Troy A. Yocum
  • Patent number: 6940172
    Abstract: A titanium layer is formed on a substrate with chemical vapor deposition (CVD). First, a seed layer is formed on the substrate by combining a first precursor with a reducing agent by CVD. Then, the titanium layer is formed on the substrate by combining a second precursor with the seed layer by CVD. The titanium layer is used to form contacts to active areas of substrate and for the formation of interlevel vias.
    Type: Grant
    Filed: August 28, 2001
    Date of Patent: September 6, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Singh Sandhu, Donald L. Westmoreland