Having Refractory Group Metal (i.e., Titanium (ti), Zirconium (zr), Hafnium (hf), Vanadium (v), Niobium (nb), Tantalum (ta), Chromium (cr), Molybdenum (mo), Tungsten (w), Or Alloy Thereof) Patents (Class 438/656)
  • Patent number: 7897513
    Abstract: The present application is directed to a method for forming a metal silicide layer. The method comprises providing a substrate comprising silicon and depositing a metal layer on the substrate. The metal layer is annealed within a first temperature range and for a first dwell time of about 10 milliseconds or less to react at least a portion of the metal with the silicon to form a silicide. An unreacted portion of the metal is removed from the substrate. The silicide is annealed within a second temperature range for a second dwell time of about 10 milliseconds or less.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: March 1, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Haowen Bu, Shashank Ekbote, Juanita Deloach
  • Patent number: 7892964
    Abstract: Atomic layer deposition methods as described herein can be advantageously used to form a metal-containing layer on a substrate. For example, certain methods as described herein can form a strontium titanate layer that has low carbon content (e.g., low strontium carbonate content), which can result in layer with a high dielectric constant.
    Type: Grant
    Filed: February 14, 2007
    Date of Patent: February 22, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Bhaskar Srinivasan, John Smythe
  • Patent number: 7892970
    Abstract: The present invention relates to alternative methods for the production of crystalline silicon compounds and/or alloys such as silicon carbide layers and substrates. In one embodiment, a method of the present invention comprises heating a porous silicon deposition surface of a porous silicon substrate to a temperature operable for epitaxial deposition of at least one atom or molecule, contacting the porous silicon deposition surface with a reactive gas mixture comprising at least one chemical species comprising a group IV element and at least one silicon chemical species, and depositing a silicon-group IV element layer on the porous silicon deposition surface. In another embodiment, the chemical species comprising a group IV element can be replaced with a transition metal species to form a silicon silicide layer.
    Type: Grant
    Filed: May 17, 2010
    Date of Patent: February 22, 2011
    Assignee: The University of North Carolina at Charlotte
    Inventor: Mohamed-Ali Hasan
  • Patent number: 7888148
    Abstract: A thin film panel includes a substrate, a gate line formed on the substrate, a gate insulating layer formed on the gate line, a semiconductor layer formed on the gate insulating layer, a data line, including a source electrode, and a drain electrode formed on the gate insulating layer or the semiconductor layer, and a pixel electrode connected to the drain electrode, wherein at least one of the gate line and the data line and drain electrode includes a first conductive layer made of a molybdenum Mo-niobium Nb alloy and a second conductive layer made of a copper Cu-containing metal.
    Type: Grant
    Filed: September 6, 2007
    Date of Patent: February 15, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hong-Sick Park, Bong-Kyun Kim, Chang-Oh Jeong, Jong-Hyun Choung, Sun-Young Hong, Won-Suk Shin, Byeong-Jin Lee
  • Patent number: 7884428
    Abstract: A semiconductor device includes an Nch transistor having a first gate electrode and a Pch transistor having a second gate electrode. The first gate electrode and the second gate electrode are made of materials causing stresses of different magnitudes.
    Type: Grant
    Filed: April 3, 2008
    Date of Patent: February 8, 2011
    Assignee: Panasonic Corporation
    Inventors: Yoichi Yoshida, Kenshi Kanegae
  • Publication number: 20110027988
    Abstract: Provided is a method for forming a buried word line in a semiconductor device. The method includes forming a trench by etching a pad layer and a substrate, forming a conductive layer to fill the trench, planarizing the conductive layer until the pad layer is exposed, performing an etch-back process on the planarized conductive layer, and performing an annealing process in an atmosphere of a nitride-based gas after at least one of the forming of the conductive layer, the planarizing of the conductive layer, and the performing of the etch-back process on the planarized conductive layer.
    Type: Application
    Filed: December 23, 2009
    Publication date: February 3, 2011
    Inventors: Sun-Hwan HWANG, Se-Aug Jang, Kee-Joon Oh, Soon-Young Park
  • Patent number: 7867899
    Abstract: Methods and structures for reducing resistance in wordlines of an integrated circuit memory device are disclosed. In one embodiment, the method includes forming multiple columns of polycrystalline silicon for respective number of wordlines, forming core transistor junctions and periphery transistor junctions associated with the wordlines, performing a salicidation process for the periphery transistor junction and performing a salicidation process for the columns of polycrystalline silicon to from the wordlines with low resistance.
    Type: Grant
    Filed: April 29, 2008
    Date of Patent: January 11, 2011
    Assignee: Spansion, LLC
    Inventors: Shenqing Fang, Jihwan Choi, Connie Wang, Eunha Kim
  • Patent number: 7867898
    Abstract: A method of forming an ohmic contact layer including forming an insulation layer pattern on a substrate, the insulation pattern layer having an opening selectively exposing a silicon bearing layer, forming a metal layer on the exposed silicon bearing layer using an electrode-less plating process, and forming a metal silicide layer from the silicon bearing layer and the metal layer using a silicidation process. Also, a method of forming metal wiring in a semiconductor device using the foregoing method of forming an ohmic contact layer.
    Type: Grant
    Filed: July 3, 2007
    Date of Patent: January 11, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dae-Yong Kim, Jong-Ho Yun, Hyun-Su Kim, Eun-Ji Jung, Eun-Ok Lee
  • Patent number: 7867901
    Abstract: A method for forming silicide in a semiconductor device includes simultaneously performing a cleaning process and an etching process to remove a silicide metal layer if an excessive delay in time lapses after forming the silicide metal layer. This may prevent the occurrence of liquid marks due to an oxidation reaction at an interface of the semiconductor substrate in contact with the silicide metal layer, thereby preventing silicide defects due to the excessive delay.
    Type: Grant
    Filed: July 1, 2009
    Date of Patent: January 11, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Kyoung-Hwa Jung
  • Patent number: 7867900
    Abstract: Embodiments herein provide methods for forming an aluminum contact on a cobalt silicide junction. In one embodiment, a method for forming materials on a substrate is provided which includes forming a cobalt silicide layer on a silicon-containing surface of the substrate during a silicidation process, forming a fluorinated sublimation film on the cobalt silicide layer during a plasma process, heating the substrate to a sublimation temperature to remove the fluorinated sublimation film, depositing a titanium-containing nucleation layer over the cobalt silicide layer, and depositing an aluminum-containing material over the titanium-containing nucleation layer.
    Type: Grant
    Filed: September 29, 2008
    Date of Patent: January 11, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Wei Ti Lee, Mohd Fadzli Anwar Hassan, Ted Guo, Sang-Ho Yu
  • Patent number: 7855454
    Abstract: A method of activating a metal structure on an intermediate semiconductor device structure toward metal plating. The method comprises providing an intermediate semiconductor device structure comprising at least one first metal structure and at least one second metal structure on a semiconductor substrate. The at least one first metal structure comprises at least one aluminum structure, at least one copper structure, or at least one structure comprising a mixture of aluminum and copper and the at least one second metal structure comprises at least one tungsten structure. One of the at least one first metal structure and the at least one second metal structure is activated toward metal plating without activating the other of the at least one first metal structure and the at least one second metal structure. An intermediate semiconductor device structure is also disclosed.
    Type: Grant
    Filed: February 5, 2007
    Date of Patent: December 21, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Salman Akram, James M. Wark, William M. Hiatt
  • Publication number: 20100308380
    Abstract: A method of forming a semiconductor device includes forming a first interlevel dielectric (ILD) layer over one or more transistor structures formed on a substrate, the one or more transistor structures including an active area, source/drain contact and a gate conductor formed over the substrate; forming a first metal (M1) level trench in an upper portion of the first ILD layer, followed by forming vias in a lower portion of the first ILD layer, down to the source/drain contact and down to the gate conductor; and filling both the trench and vias with a conductive material, thereby resulting in a dual damascene metal process at and below the M1 level of the semiconductor device.
    Type: Application
    Filed: June 5, 2009
    Publication date: December 9, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Mary Beth Rothwell, Roy R. Yu
  • Patent number: 7846835
    Abstract: A method for depositing a barrier layer onto a substrate is disclosed. A layer of titanium (Ti) is deposited onto the substrate using an ionized metal plasma (IMP) physical vapor deposition process. The IMP process includes: generating gaseous ions, accelerating the gaseous ions towards a titanium target, sputtering the titanium atoms from the titanium target with the gaseous ions, ionizing the titanium atoms using a plasma, and depositing the ionized titanium atoms onto the substrate to form the layer of Ti. A first layer of titanium nitride (TiN) is deposited onto the layer of Ti using a metal organic chemical vapor deposition (MOCVD) process. A second layer of TiN is deposited onto the first layer of TiN using a thermal chemical vapor deposition process. The newly completed barrier layer is annealed in the presence of nitrogen at a temperature of between about 500° C. to about 750° C.
    Type: Grant
    Filed: December 4, 2007
    Date of Patent: December 7, 2010
    Assignee: Macronix International Co. Ltd.
    Inventors: Tuung Luoh, Chin-Ta Su, Ta-Hung Yang, Kuang-Chao Chen
  • Patent number: 7846839
    Abstract: An adhesion between a Cu diffusion barrier film and a Cu wiring in a semiconductor device is improved and reliability of the semiconductor device is improved. A film forming method for forming a Cu film on a substrate to be processed is provided with a first process of forming an adhesion film on the Cu diffusion barrier film formed on the substrate to be processed, and a second process of forming a Cu film on the adhesion film. The adhesion film includes Pd.
    Type: Grant
    Filed: October 3, 2005
    Date of Patent: December 7, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Yasuhiko Kojima, Naoki Yoshii
  • Patent number: 7842609
    Abstract: A hole is formed in an insulating layer. A semiconductor substrate is heated at a temperature of equal to or more than 330° C. and equal to or less than 400° C. Tungsten-containing gas and at least one of B2H6 gas and SiH4 gas are introduced into a reaction chamber to thereby form a first tungsten layer. Subsequently, at least one of H2 gas and inert gas is introduced into the reaction chamber, the temperature of the semiconductor substrate is raised to equal to or more than 370° C. and equal to or less than 410° C. with 30 or more seconds, and tungsten-containing gas is introduced into the reaction chamber to thereby form a second tungsten layer on the first tungsten layer.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: November 30, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Atsushi Kariya
  • Patent number: 7833855
    Abstract: In a method for forming a field effect transistor, a metal nitride layer is formed on a gate electrode insulating layer. Tantalum amine derivatives represented by the chemical formula Ta(NR1)(NR2R3)3, in which R1, R2 and R3 represent H or a C1-C6 alkyl group, may be used to form the metal nitride layer. Nitrogen may then be implanted into the metal nitride layer to increase the nitrogen content of the layer.
    Type: Grant
    Filed: June 26, 2006
    Date of Patent: November 16, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Bom Kang, Kyung-In Choi, You-Kyoung Lee, Seong-Geon Park, Gil-Heyun Choi, Jong-Myeong Lee, Sang-Woo Lee
  • Patent number: 7824743
    Abstract: Embodiments described herein provide a method for forming two titanium nitride materials by different PVD processes, such that a metallic titanium nitride layer is initially formed by a PVD process in a metallic mode and a titanium nitride retarding layer is formed over a portion of the metallic titanium nitride layer by a PVD process in a poison mode. Subsequently, a first aluminum layer, such as an aluminum seed layer, may be selectively deposited on exposed portions of the metallic titanium nitride layer by a CVD process. Thereafter, a second aluminum layer, such as an aluminum bulk layer, may be deposited on exposed portions of the first aluminum layer and the titanium nitride retarding layer during an aluminum PVD process.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: November 2, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Wei Ti Lee, Yen-Chih Wang, Mohd Fadzli Anwar Hassan, Ryeun Kwan Kim, Hyung Chul Park, Ted Guo, Alan A. Ritchie
  • Patent number: 7825025
    Abstract: According to one embodiment of the invention, a method for nickel silicidation includes providing a substrate having a source region, a gate region, and a drain region, forming a source in the source region and a drain in the drain region, annealing the source and the drain, implanting, after the annealing the source and the drain, a heavy ion in the source region and the drain region, depositing a nickel layer in each of the source and drain regions, and heating the substrate to form a nickel silicide region in each of the source and drain regions by heating the substrate.
    Type: Grant
    Filed: October 4, 2004
    Date of Patent: November 2, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Amitabh Jain, Peijun Chen, Jorge A. Kittl
  • Publication number: 20100273323
    Abstract: A method for producing on-chip interconnect structures on a substrate is provided, comprising at least the steps of providing a substrate and depositing a ruthenium-comprising layer on top of said substrate, and then performing a pre-treatment of the Ru-comprising layer electrochemically with an HBF4-based electrolyte, and then performing electrochemical deposition of copper onto the pre-treated Ru-comprising layer.
    Type: Application
    Filed: April 21, 2010
    Publication date: October 28, 2010
    Applicant: IMEC
    Inventors: Philippe M. Vereecken, Aleksandar Radisic
  • Patent number: 7811928
    Abstract: Semiconductor devices and methods of fabricating semiconductor devices are disclosed. A disclosed semiconductor device includes a silicon substrate, a source region and a drain region. A gate electrode is formed on the silicon substrate. Also, a metal silicide layer is formed on each of the gate electrode, the source region, and the drain region. The metal silicide layer has a thickness uniformity of about 1˜20%. A disclosed fabrication method includes forming a metal layer on a silicon substrate having a gate electrode, a source region, and a drain region; performing a plasma treatment on the metal layer; forming a protective layer on the metal layer; and heat treating the silicon substrate on which the protective layer is formed to thereby form a metal silicide layer. A gas that includes nitrogen is used as a plasma gas during the plasma treatment.
    Type: Grant
    Filed: November 1, 2007
    Date of Patent: October 12, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventors: Han-Choon Lee, Jin-Woo Park
  • Publication number: 20100240214
    Abstract: A method of forming the multi metal layers thin film has Ti sputtered on top surface of a substrate by PVD first. Then, Ti is transformed into TiN via CVD. Thus, by skipping the extra process steps of wafer cleaning and surface treating, the method not only solves the stress problems between two different metal layers but also improves the cycle time and particle performance for the production without any yield impact.
    Type: Application
    Filed: July 10, 2009
    Publication date: September 23, 2010
    Applicant: NANYA TECHNOLOGY CORP.
    Inventors: YuShan Chiu, Yi-Jen Lo
  • Patent number: 7790604
    Abstract: A method of depositing a bilayer of tungsten over tungsten nitride by a plasma sputtering process in which krypton is used as the sputter working gas during the tungsten deposition. Argon may be used as the sputtering working gas during the reactive sputtering deposition of tungsten nitride. The beneficial effect of reduction of tungsten resistivity is increased when the thickness of the tungsten layer is less than 50 nm and further increased when less than 35 nm. The method may be used in forming a gate stack including a polysilicon layer over a gate oxide layer over a silicon gate region of a MOS transistor in which the tungsten nitride acts as a barrier. A plasma sputter chamber in which the invention may be practiced includes gas sources of krypton, argon, and nitrogen.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: September 7, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Wei D. Wang, Srinivas Gandikota, Kishore Lavu
  • Publication number: 20100216305
    Abstract: A method for fabricating a semiconductor device, includes forming a dielectric film above a substrate; forming an opening in the dielectric film; forming a ruthenium (Ru) film at least on a bottom surface of the opening; and filling in the opening with a tungsten (W) film in which the Ru film is formed, according to a chemical vapor deposition (CVD) method by hydrogen (H2) reduction.
    Type: Application
    Filed: November 6, 2009
    Publication date: August 26, 2010
    Inventor: Junichi WADA
  • Patent number: 7772114
    Abstract: Methods of improving the uniformity and adhesion of low resistivity tungsten films are provided. Low resistivity tungsten films are formed by exposing the tungsten nucleation layer to a reducing agent in a series of pulses before depositing the tungsten bulk layer. According to various embodiments, the methods involve reducing agent pulses with different flow rates, different pulse times and different interval times.
    Type: Grant
    Filed: December 5, 2007
    Date of Patent: August 10, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Lana Hiului Chan, Feng Chen, Karl B. Levy
  • Patent number: 7767580
    Abstract: A method for fabricating a semiconductor device, including forming a gate insulating layer and a gate electrode on a substrate; forming insulating layer sidewalls at sides of the gate electrode; forming source/drain regions in surface portions of the substrate that are located, respectively, at sides of the gate electrode; forming a conductive silicide layer on the entire surface of the substrate; and selectively removing the silicide layer from areas other than the gate electrode and the source/drain regions of the substrate. The conductive silicide layer may be made by forming a silicon layer on an entire surface of the substrate; forming a conductive layer on the silicon layer; and thermal-processing the substrate such that the conductive layer reacts with the silicon layer.
    Type: Grant
    Filed: July 27, 2006
    Date of Patent: August 3, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Han Choon Lee
  • Patent number: 7754605
    Abstract: The surface of a conductive layer such as a conductive nitride, a conductive silicide, a metal, or metal alloy or compound, is exposed to a dopant gas which provides an n-type or p-type dopant. The dopant gas may be included in a plasma. Semiconductor material, such as silicon, germanium, or their alloys, is deposited directly on the surface which has been exposed to the dopant gas. During and subsequent to deposition, dopant atoms diffuse into the deposited semiconductor, forming a thin heavily doped region and making a good ohmic contact between the semiconductor material and the underlying conductive layer.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: July 13, 2010
    Assignee: SanDisk 3D LLC
    Inventors: S. Brad Herner, Steven J Radigan
  • Patent number: 7754604
    Abstract: The present invention provides improved methods of depositing tungsten-containing films on substrates, particularly on silicon substrates. The methods involve depositing an interfacial or “flash” layer of tungsten on the silicon prior to deposition of tungsten nitride. The tungsten flash layer is typically deposited by a CVD reaction of a tungsten precursor and a reducing agent. According to various embodiments, the tungsten flash layer may be deposited with a high reducing agent to tungsten-precursor ratio and/or at low temperature to reduce attack by the tungsten precursor. In many cases, the substrate is a semiconductor wafer or a partially fabricated semiconductor wafer. Applications include depositing tungsten nitride as (or as part of) a diffusion barrier and/or adhesion layer for tungsten contacts.
    Type: Grant
    Filed: February 6, 2006
    Date of Patent: July 13, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Panya Wongsenakhum, Juwen Gao, Joshua Collins
  • Patent number: 7754577
    Abstract: A method for fabricating a capacitor includes: forming a storage node contact plug over a substrate; forming an insulation layer having an opening exposing a surface of the storage node contact plug over the storage contact plug; forming a conductive layer for a storage node over the insulation layer and the exposed surface of the storage node contact plug through two steps performed at different temperatures; performing an isolation process to isolate parts of the conductive layer; and sequentially forming a dielectric layer and a plate electrode over the isolated conductive layer.
    Type: Grant
    Filed: June 14, 2006
    Date of Patent: July 13, 2010
    Assignee: Hynix Semiconductor, Inc.
    Inventors: Jin-Hyock Kim, Seung-Jin Yeom, Ki-Seon Park, Han-Sang Song, Deok-Sin Kil, Jae-Sung Roh
  • Publication number: 20100164113
    Abstract: A method for forming copper wirings in a semiconductor device may include depositing a lower insulating film over a semiconductor substrate; forming vias in the lower insulating film; depositing tungsten over the entire surface of upper portion of the lower insulating film so that the vias are gap-filled with the tungsten; forming tungsten plugs by performing a tungsten chemical mechanical polishing process to remove excess tungsten deposited over the upper portion of the lower insulating film; removing the tungsten remaining over the upper portion of the lower insulating film by performing a tungsten etchback process; depositing an upper insulating film over the upper portion of the lower insulating film; exposing upper portions of the tungsten plugs by forming trenches on the upper insulating film; depositing copper over the entire surface of the upper insulating film so that the trenches are gap-filled with the copper; and planarizing the copper over the upper portion of the trenches.
    Type: Application
    Filed: December 10, 2009
    Publication date: July 1, 2010
    Inventor: Kweng-Rae Cho
  • Publication number: 20100167532
    Abstract: A method of plug fill for high aspect ratio plugs wherein a nucleation layer is formed at a bottom of a via and not on the sidewalls. The plug fill is in the direction from bottom to top of the via and not inwards from the sidewalls. The resulting plug is voidless and seamless.
    Type: Application
    Filed: December 31, 2008
    Publication date: July 1, 2010
    Inventors: Yakov Shor, Semeon Altshuler, Maor Rotlain, Yigal Alon, Dror Horvitz
  • Patent number: 7745327
    Abstract: By appropriately designing a plurality of deposition steps and intermediate sputter processes, the formation of a barrier material within a via opening may be accomplished on the basis of a highly efficient process strategy that readily integrates conductive cap layers formed above metal-containing regions into well-approved process sequences.
    Type: Grant
    Filed: June 12, 2007
    Date of Patent: June 29, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Axel Preusse, Michael Friedemann, Robert Seidel, Berit Freudenberg
  • Patent number: 7745317
    Abstract: A semiconductor device provided with a silicon carbide semiconductor substrate, and an ohmic metal layer joined to one surface of the silicon carbide semiconductor substrate in an ohmic contact and composed of a metal material whose silicide formation free energy and carbide formation free energy respectively take negative values. The ohmic metal layer is composed of, for example, a metal material such as molybdenum, titanium, chromium, manganese, zirconium, tantalum, or tungsten.
    Type: Grant
    Filed: June 14, 2006
    Date of Patent: June 29, 2010
    Assignee: Rohm Co., Ltd.
    Inventors: Yuji Okamura, Masashi Matsushita
  • Patent number: 7745320
    Abstract: A method for forming silicide contacts in integrated circuits (ICs) is described. A spacer pull-back etch is performed during the salicidation process to reduce the stress between the spacer and source/drain silicide contact at the spacer undercut. This prevents the propagation of surface defects into the substrate, thereby minimizing the occurrence of silicide pipe defects. The spacer pull-back etch can be performed after a first annealing step to form the silicide contacts.
    Type: Grant
    Filed: May 21, 2008
    Date of Patent: June 29, 2010
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Jeff Jianhui Ye, Huang Liu, Alex K H See, Wei Lu, Hai Cong, Hui Peng Koh, Mei Sheng Zhou, Liang Choo Hsia
  • Patent number: 7736984
    Abstract: In one embodiment, silicide layers are formed on two oppositely doped adjacent semiconductor regions. A conductor material is formed electrically contacting both of the two silicides.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: June 15, 2010
    Assignee: Semiconductor Components Industries, LLC
    Inventors: Gordon M. Grivna, Prasad Venkatraman
  • Patent number: 7732325
    Abstract: In one embodiment, a method for depositing materials on a substrate is provided which includes forming a titanium nitride barrier layer on the substrate by sequentially exposing the substrate to a titanium precursor containing a titanium organic compound and a nitrogen plasma formed from a mixture of nitrogen gas and hydrogen gas. In another embodiment, the method includes exposing the substrate to the deposition gas containing the titanium organic compound to form a titanium-containing layer on the substrate, and exposing the titanium-containing layer disposed on the substrate to a nitrogen plasma formed from a mixture of nitrogen gas and hydrogen gas. The method further provides depositing a conductive material containing tungsten or copper over the substrate during a vapor deposition process. In some examples, the titanium organic compound may contain methylamido or ethylamido, such as tetrakis(dimethylamido)titanium, tetrakis(diethylamido)titanium, or derivatives thereof.
    Type: Grant
    Filed: January 5, 2009
    Date of Patent: June 8, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Michael X. Yang, Toshio Itoh, Ming Xi
  • Patent number: 7727882
    Abstract: A diffusion barrier film includes a layer of compositionally graded titanium nitride, having a nitrogen-rich portion and a nitrogen-poor portion. The nitrogen-rich portion has a composition of at least about 40% (atomic) N, and resides closer to the dielectric than the nitrogen-poor portion. The nitrogen-poor portion has a composition of less than about 30% (atomic) N (e.g., between about 5-30% N) and resides in contact with the metal, e.g., copper. The diffusion barrier film can also include a layer of titanium residing between the layer of dielectric and the layer of compositionally graded titanium nitride. The layer of titanium is often partially or completely converted to titanium oxide upon contact with a dielectric layer. The barrier film having a compositionally graded titanium nitride layer provides excellent diffusion barrier properties, exhibits good adhesion to copper, and reduces uncontrolled diffusion of titanium into interconnects.
    Type: Grant
    Filed: December 17, 2007
    Date of Patent: June 1, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Wen Wu, Chentao Yu, Girish Dixit, Kenneth Jow
  • Patent number: 7718552
    Abstract: A method and device of nanostructured titania that is crack free. A method in accordance with the present invention comprises depositing a Ti film on a surface, depositing a masking layer on the Ti film, etching said masking layer to expose a limited region of the Ti film, the limited region being of an area less than a threshold area, oxidizing the exposed limited region of the Th.ucsbi film, and annealing the exposed limited region of the Ti film.
    Type: Grant
    Filed: April 4, 2006
    Date of Patent: May 18, 2010
    Assignee: The Regents of the University of California
    Inventors: Zuruzi Abu Samah, Noel C. MacDonald, Marcus Ward, Martin Moskovits, Andrei Kolmakov, Cyrus R. Safinya
  • Patent number: 7713868
    Abstract: A method for forming a strained metal nitride film and a semiconductor device containing the strained metal nitride film. The method includes exposing a substrate to a gas containing a metal precursor, exposing the substrate to a gas containing a nitrogen precursor activated by a plasma source at a first level of plasma power and configured to react with the metal precursor with a first reactivity characteristic, and exposing the substrate to a gas containing the nitrogen precursor activated by the plasma source at a second level of plasma power different from the first level and configured to react with the metal precursor with a second reactivity characteristic such that a property of the metal nitride film formed on the substrate changes to provide the strained metal nitride film.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: May 11, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Robert D. Clark
  • Patent number: 7713874
    Abstract: Methods for performing periodic plasma annealing during atomic layer deposition are provided along with structures produced by such methods. The methods include contacting a substrate with a vapor-phase pulse of a metal source chemical and one or more plasma-excited reducing species for a period of time. Periodically, the substrate is contacted with a vapor phase pulse of one or more plasma-excited reducing species for a longer period of time. The steps are repeated until a metal thin film of a desired thickness is formed over the substrate.
    Type: Grant
    Filed: May 2, 2007
    Date of Patent: May 11, 2010
    Assignee: ASM America, Inc.
    Inventor: Robert B. Milligan
  • Patent number: 7709377
    Abstract: A thin film including multi components and a method of forming the thin film are provided, wherein a method according to an embodiment of the present invention, a substrate is loaded into a reaction chamber. A unit material layer is formed on the substrate. The unit material layer may be formed of a mosaic atomic layer composed of two kinds of precursors containing components constituting the thin film. The inside of the reaction chamber is purged, and the MAL is chemically changed. The method of forming the thin film of the present invention requires fewer steps than a conventional method while retaining the advantages of the conventional method, thereby allowing a superior thin film yield in the present invention than previously obtainable.
    Type: Grant
    Filed: July 8, 2005
    Date of Patent: May 4, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-hyun Lee, Dae-sig Kim, Yo-sep Min, Young-jin Cho
  • Patent number: 7709368
    Abstract: A method for easily manufacturing a semiconductor device in which variation in thickness or disconnection of a source electrode or a drain electrode is prevented is proposed A semiconductor device includes a semiconductor layer formed over an insulating substrate; a first insulating layer formed over the semiconductor layer; a gate electrode formed over the first insulating layer; a second insulating layer formed over the gate electrode; an opening which reaches the semiconductor layer and is formed at least in the first insulating layer and the second insulating layer; and a step portion formed at a side surface of the second insulating layer in the opening.
    Type: Grant
    Filed: March 12, 2008
    Date of Patent: May 4, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shinya Sasagawa
  • Publication number: 20100105205
    Abstract: A semiconductor process is provided. First, a metal layer, a dielectric layer and a patterned hard mask layer are sequentially formed on a substrate. Thereafter, a portion of the dielectric layer is removed to form an opening exposing the metal layer. Afterwards, a cleaning solution is used to clean the opening. The cleaning solution includes a triazole compound with a content of 0.00275 to 3 wt %, sulfuric acid with a content of 1 to 10 wt %, hydrofluoric acid with a content of 1 to 200 ppm and water.
    Type: Application
    Filed: October 27, 2008
    Publication date: April 29, 2010
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Chang-Hsiao Lee, Shih-Fang Tzou, Ming-Da Hsieh, Yu-Tsung Lai, Jyh-Cherng Yau, Jiunn-Hsiung Liao
  • Patent number: 7704767
    Abstract: A manufacturing method of an electro line for a liquid crystal display device includes depositing a barrier layer made of a conducting material on a substrate, depositing a copper layer (Cu) on the barrier layer, wet-etching the Cu layer using a first etchant, and dry-etching the barrier layer using a second etchant using the wet-etched Cu layer as an etch mask.
    Type: Grant
    Filed: March 16, 2009
    Date of Patent: April 27, 2010
    Assignee: LG Display Co., Ltd.
    Inventors: Oh-Nam Kwon, Kyoung-Mook Lee, Heung-Lyul Cho, Seung-Hee Nam, Cyoo-Chul Jo
  • Patent number: 7700480
    Abstract: Some embodiments include methods of titanium deposition in which a silicon-containing surface and an electrically insulative surface are both exposed to titanium-containing material, and in which such exposure forms titanium silicide from the silicon-containing surface while not depositing titanium onto the electrically insulative surface. The embodiments may include atomic layer deposition processes, and may include a hydrogen pre-treatment of the silicon-containing surfaces to activate the surfaces for reaction with the titanium-containing material. Some embodiments include methods of titanium deposition in which a semiconductor material surface and an electrically insulative surface are both exposed to titanium-containing material, and in which a titanium-containing film is uniformly deposited across both surfaces.
    Type: Grant
    Filed: April 27, 2007
    Date of Patent: April 20, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Joel A. Drewes, Cem Basceri, Demetrius Sarigiannis
  • Patent number: 7701062
    Abstract: Provided, is a reliable semiconductor device with a layered interconnect structure that may develop no trouble of voids and interconnect breakdowns, in which the layered interconnect structure comprises a conductor film and a neighboring film as so layered on a semiconductor substrate that the neighboring film is contacted with the conductor film.
    Type: Grant
    Filed: August 6, 2007
    Date of Patent: April 20, 2010
    Assignee: Hitachi, Ltd.
    Inventors: Tomio Iwasaki, Hideo Miura
  • Patent number: 7696086
    Abstract: An interconnect structure is described, disposed on a substrate with a conductive part thereon and including a dielectric layer, a composite plug and a conductive line. The dielectric layer is disposed on the substrate covering the conductive part. The composite plug is disposed in the dielectric layer electrically connecting with the conductive part, and includes a first plug and a second plug on the first plug, wherein the material or the critical dimension of the second plug is different from that of the first plug. The conductive line is disposed on the dielectric layer electrically connecting with the composite plug.
    Type: Grant
    Filed: July 13, 2006
    Date of Patent: April 13, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Yu-Hao Hsu, Ming-Tsung Chen
  • Patent number: 7691697
    Abstract: A high-reliability power composite integrated semiconductor device uses thick copper electrodes as current collecting electrodes of a power device portion to resist wire resistance needed for reducing ON-resistance. Furthermore, wire bonding connection of the copper electrodes is secured, and also the time-lapse degradation under high temperature which causes diffusion of copper and corrosion of copper is suppressed. Still furthermore, direct bonding connection can be established to current collecting electrodes in the power device portion, and also established to a bonding pad formed on the control circuit portion in the control circuit portion. A pad area at the device peripheral portion which has been hitherto needed is reduced, so that the area of the device is saved, and the manufacturing cost is reduced.
    Type: Grant
    Filed: June 24, 2008
    Date of Patent: April 6, 2010
    Assignee: DENSO CORPORATION
    Inventor: Hiroyasu Itou
  • Patent number: 7691742
    Abstract: In one embodiment, a method for forming a tantalum-containing material on a substrate is provided which includes heating a liquid tantalum precursor containing tertiaryamylimido-tris(dimethylamido) tantalum (TAIMATA) to a temperature of at least 30° C. to form a tantalum precursor gas and exposing the substrate to a continuous flow of a carrier gas during an atomic layer deposition process. The method further provides exposing the substrate to the tantalum precursor gas by pulsing the tantalum precursor gas into the carrier gas and adsorbing the tantalum precursor gas on the substrate to form a tantalum precursor layer thereon. Subsequently, the tantalum precursor layer is exposed to at least one secondary element-containing gas by pulsing the secondary element-containing gas into the carrier gas while forming a tantalum barrier layer on the substrate.
    Type: Grant
    Filed: February 4, 2009
    Date of Patent: April 6, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Christophe Marcadal, Rongjun Wang, Hua Chung, Nirmalya Maity
  • Patent number: 7691749
    Abstract: Methods for depositing a tungsten nitride layer are described. The methods form a tungsten nitride layer using a carefully controlled deposition technique such as pulsed nucleation layer (PNL). Initially, a tungsten layer is formed on a substrate surface. The tungsten layer is then exposed to a nitriding agent to form a tungsten nitride layer. Methods of forming relatively thick layers of involve repeated cycles of contact with reducing agent, tungsten precursor and nitriding agent. In some cases, the cycle may also include contact with a dopant precursor such as phosphine or arsine.
    Type: Grant
    Filed: December 16, 2005
    Date of Patent: April 6, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Karl B. Levy, Junghwan Sung, Kaihan A. Ashtiani, James A. Fair, Joshua Collins, Juwen Gao
  • Publication number: 20100072623
    Abstract: Semiconductor device structures and related fabrication methods are provided herein. One fabrication method relates to the formation of conductive contact plugs for a semiconductor device. The method begins by providing a semiconductor device structure having a conductive contact region, a layer of insulating material overlying the conductive contact region, and a via formed in the layer of insulating material and terminating at the conductive contact region. The fabrication process then deposits a first electrically conductive material on the semiconductor device structure such that the first electrically conductive material at least partially fills the via. Then, the process anisotropically etches a portion of the first electrically conductive material located in the filled via, resulting in a lined via. Thereafter, the process deposits a second electrically conductive material on the semiconductor device structure such that the second electrically conductive material at least partially fills the lined via.
    Type: Application
    Filed: September 19, 2008
    Publication date: March 25, 2010
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventors: Christopher M. PRINDLE, Richard J. CARTER, Doug LEE, Man Fai NG