Simultaneous Etching And Coating Patents (Class 438/695)
  • Patent number: 6576563
    Abstract: The present invention provides a method of manufacturing a semiconductor device. In one embodiment, the method includes forming a positive relief structure from a material located on a substrate, the step of forming the positive relief structure leaving an unwanted remnant of said material proximate a base of the positive relief structure. The method further includes cleaning the positive relief structure. In addition, the method includes removing the unwanted remnant with a gas containing fluorine and that is substantially free of hydrogen.
    Type: Grant
    Filed: October 26, 2001
    Date of Patent: June 10, 2003
    Assignee: Agere Systems Inc.
    Inventors: Stephen W. Downey, Edward B. Harris, Paul B. Murphey
  • Patent number: 6562720
    Abstract: A method of smoothing a silicon surface formed on a substrate. According to the present invention a substrate having a silicon surface is placed into a chamber and heated to a temperature of between 1000°-1300° C. While the substrate is heated to a temperature between 1000°-1300° C., the silicon surface is exposed to a gas mix comprising H2 and HCl in the chamber to smooth the silicon surface.
    Type: Grant
    Filed: February 14, 2002
    Date of Patent: May 13, 2003
    Assignees: Applied Materials, Inc., Silicon Genesis Corporation
    Inventors: Anna Lena Thilderkvist, Paul Comita, Lance Scudder, Norma Riley
  • Publication number: 20030087481
    Abstract: Semiconductor devices useful in high temperature sensing applications include a silicon carbide substrate, a silicon dioxide layer, and an outer layer of crystalline doped silicon carbide. The device is a 3C—SiC/SiO2/SiC structure. This structure can be employed to fabricate high temperature devices such as piezoresistive sensors, minority carrier devices and so on. The crystalline doped silicon carbide is dielectrically isolated from the substrate. The devices are formed by processes that include bonding a pattern wafer to a substrate wafer, selective oxidation and removal of undoped silicon, and conversion of doped silicon to crystalline silicon carbide. The level of doping and the crystalline structure of the silicon carbide can be selected according to desired properties for particular applications.
    Type: Application
    Filed: November 3, 2001
    Publication date: May 8, 2003
    Inventors: Anthony D. Kurtz, Alexander A. Ned
  • Patent number: 6559057
    Abstract: Semiconductor processing methods of forming conductive projections and methods of increasing alignment tolerances are described. In one implementation, a conductive projection is formed over a substrate surface area and includes an upper surface and a side surface joined therewith to define a corner region. The corner region of the conductive projection is subsequently beveled to increase an alignment tolerance relative thereto. In another implementation, a conductive plug is formed over a substrate node location between a pair of conductive lines and has an uppermost surface. Material of the conductive plug is unevenly removed to define a second uppermost surface, at least a portion of which is disposed elevationally higher than a conductive line. In one aspect, conductive plug material can be removed by facet etching the conductive plug.
    Type: Grant
    Filed: July 18, 2001
    Date of Patent: May 6, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Mark Fischer, John K. Zahurak
  • Patent number: 6555476
    Abstract: Silicon carbide is used for a hardmask for the isolation dielectric etch and also serves as an etch stop for chemical-mechanical polishing. Alternatively, silicon carbonitride or silicon carboxide can be used.
    Type: Grant
    Filed: December 21, 1998
    Date of Patent: April 29, 2003
    Assignee: Texas Instruments Incorporated
    Inventors: Leif C. Olsen, Leland S. Swanson, Henry L. Edwards
  • Patent number: 6551915
    Abstract: Within a damascene method for forming a patterned conductor layer within an aperture defined by a patterned dielectric layer within a microelectronic fabrication, at least one of: (1) the patterned dielectric layer is thermally annealed at a temperature of from about 300 to about 450 degrees centigrade prior to forming within the aperture the patterned conductor layer; and (2) the aperture is etched with a plasma employing an etchant gas composition comprising hydrogen to form a laterally enlarged aperture prior to forming within the laterally enlarged aperture the patterned conductor layer. In accord with the method, the microelectronic fabrication is formed with decreased contact resistance and enhanced structural integrity.
    Type: Grant
    Filed: July 3, 2001
    Date of Patent: April 22, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jing-Cheng Lin, Shau-Lin Shue
  • Patent number: 6537923
    Abstract: A capping layer of an insulator such as silicon nitride is formed over horizontally closely spaced apart metal lines on an oxide layer of an integrated circuit structure formed on a semiconductor substrate. Low k silicon oxide dielectric material which exhibits void-free deposition properties in high aspect ratio regions between the closely spaced apart metal lines is then deposited over and between the metal lines and over the silicon nitride caps on the metal lines. After the formation of such low k silicon oxide dielectric material between the closely spaced apart metal lines and the over silicon nitride caps thereon, a second layer of silicon nitride is deposited over the layer of low k silicon oxide dielectric material.
    Type: Grant
    Filed: October 31, 2000
    Date of Patent: March 25, 2003
    Assignee: LSI Logic Corporation
    Inventors: Hemanshu D. Bhatt, Shafqat Ahmed, Robindranath Banerjee
  • Patent number: 6534409
    Abstract: Methods of providing silicon oxide on a substrate in a single process step by simultaneously introducing both a silicon source gas and an etch gas into a CVD chamber. As a result, the method will typically involve simultaneous deposition and etching of the silicon oxide. The method is particularly useful for providing silicon oxide spacers with faceted surfaces.
    Type: Grant
    Filed: August 24, 1998
    Date of Patent: March 18, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Anand Srinivasan
  • Patent number: 6531067
    Abstract: The subject of the present invention is to keep the wiring resistance low and reduce the variation of the wiring resistance in one identical lot in semiconductor devices of a multi level interconnect structure in which at least the lower wiring layer is an aluminum wiring layer. Contact holes (31, 51) are formed in dielectric interlayers (3, 5) of upper and lower wiring layers (1, 2, 4) by dry etching. In the method of forming the contact holes of the invention, the dry etching was applied in two steps divisionally. The first step of etching is applied with supplying CF4, CHF3, Ar and N2 into an etching chamber. The second step of etching is conducted with supplying CF4, CHF3 and Ar into the etching gas chamber.
    Type: Grant
    Filed: August 25, 2000
    Date of Patent: March 11, 2003
    Assignee: Asahi Kasei Microsystems Co., Ltd.
    Inventors: Nagamasa Shiokawa, Atsushi Yamamoto
  • Patent number: 6531412
    Abstract: A method is described for forming a low-k dielectric film, in particular, a pre-metal dielectric (PMD) on a semiconductor wafer which has good gap-filling characteristics. The method uses a thermal sub-atmospheric CVD process that includes a carbon-containing organometallic precusor such as TMCTS or OMCTS, an ozone-containing gas, and a source of dopants for gettering alkali elements and for lowering the reflow temperature of the dielectric while attaining the desired low-k and gap-filling properties of the dielectric film. Phosphorous is a preferred dopant for gettering alkali elements such as sodium. Additional dopants for lowering the reflow temperature include, but are not limited to boron, germanium, arsenic, fluorine or combinations thereof.
    Type: Grant
    Filed: August 10, 2001
    Date of Patent: March 11, 2003
    Assignees: International Business Machines Corporation, Infineon Technologies AG
    Inventors: Richard A. Conti, Daniel C. Edelstein, Gill Yong Lee
  • Patent number: 6531068
    Abstract: A method of anisotropic etching of silicon with structures, preferably defined with an etching mask, by using a plasma, with a polymer being applied during a polymerization step to the lateral border of the structures defined by the etching mask, then being partially removed again during the following etching step and being redeposited in deeper side walls of the structure newly formed due to the etching reaction, and the etching is performed with an etching gas containing 3 to 40 vol % oxygen. In this way it is possible to prevent sulfur contamination in the exhaust gas area in high rate etching of silicon.
    Type: Grant
    Filed: June 8, 1999
    Date of Patent: March 11, 2003
    Assignee: Robert Bosch GmbH
    Inventors: Franz Laermer, Andrea Schilp
  • Patent number: 6528427
    Abstract: Methods for reducing contamination of semiconductor substrates after processing are provided. The methods include heating the processed substrate to remove adsorbed chemical species from the substrate surface by thermal desorption. Thermal desorption can be performed either in-situ or ex-situ. The substrate can be heated by convection, conduction, and/or radiant heating. The substrate can also be heated by treating the surface of the processed substrate with an inert plasma during which treatment ions in the plasma bombard the substrate surface raising the temperature thereof. Thermal desorption can also be performed ex-situ by applying thermal energy to the substrate during transport of the substrate from the processing chamber and/or by transporting the substrate to a transport module (e.g., a load lock) or to a second processing chamber for heating. Thermal desorption during transport can be enhanced by purging an inert gas over the substrate surface.
    Type: Grant
    Filed: March 30, 2001
    Date of Patent: March 4, 2003
    Assignee: Lam Research Corporation
    Inventors: Robert Chebi, David Hemker
  • Publication number: 20030040186
    Abstract: In one aspect, the invention encompasses a semiconductor processing method of forming a material over an uneven surface topology. A substrate having an uneven surface topology is provided. The uneven surface topology comprises a valley between a pair of outwardly projecting features. A layer of material is formed over the uneven surface topology. The layer comprises outwardly projecting portions over the outwardly projecting features of the surface topology and has a gap over the valley. The layer is etched, and the etching forms protective material within the gap while removing an outermost surface of the layer. The etching substantially does not remove the material from the bottom of the gap. In another aspect, the invention encompasses a semiconductor processing method of forming a material over metal-comprising lines. A first insulative material substrate is provided. A pair of spaced metal-comprising lines are formed over the substrate.
    Type: Application
    Filed: October 21, 2002
    Publication date: February 27, 2003
    Applicant: Micron Technology, Inc.
    Inventors: Werner Juengling, Kevin G. Donohoe
  • Publication number: 20030040185
    Abstract: A process for manufacturing a buried oxide layer for use in partial SOI structures is described. The process begins with the etching of deep tenches into a silicon body. For a preselected depth below the surface, the inner walls of the trenches are protected and oxidation of said walls is then effected until pinch-off occurs, both inside the trenches and in the material between trenches. The result is a continuous layer of oxide whose size and shape are determined by the number and location of the trenches.
    Type: Application
    Filed: August 23, 2001
    Publication date: February 27, 2003
    Applicant: Institute of Microelectronics
    Inventors: Cai Jun, Ren Chang Hong, Ranganathan Nagarajan, Narayanan Balasubramanian, Yung Chii Liang
  • Publication number: 20030036278
    Abstract: A method for the fabrication of a gate stack, in particular in very large scale integrated semiconductor memories, uses a combination of a damascene process and a CMP process to produce a gate stack which includes a polysilicon section, a silicide section and a covering-layer section thereabove. The gate stack can be fabricated by using conventional materials, has a very low sheet resistance of <1 ohm/unit area and may carry self-aligning contact sections.
    Type: Application
    Filed: May 31, 2002
    Publication date: February 20, 2003
    Inventor: Arkalgud Sitaram
  • Patent number: 6521507
    Abstract: A polysilicon film is formed with enhanced selectivity by flowing chlorine during the formation of the film. The chlorine acts as an etchant to insulative areas adjacent polysilicon structures on which the film is desired to be formed. Bottom electrodes for capacitors are formed using this process, followed by an anneal to create hemishperical grain (HSG) polysilicon. Multilayer capacitor containers are formed in a non-oxidizing ambient so that no oxide is formed between the layers. The structure formed is planarized to form separate containers made from doped and undoped amorphous silicon layers. Selected ones of undoped layers are seeded in a chlorine containing environment and annealed to form HSG. A dielectric layer and second electrode are formed to complete the cell capacitor.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: February 18, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Randhir P. S. Thakur, James Pan
  • Patent number: 6521538
    Abstract: In a method for manufacturing a semiconductor device, first, a trench is formed on a semiconductor substrate by anisotropic etching, and a reaction product is produced and deposited on the inner wall of the trench during the anisotropic etching. Then, isotropic etching is performed to round a corner of a bottom portion of the trench without removing the reaction product. The isotropic etching can round the corner of the trench without etching the side wall of the trench that is covered by the reaction product.
    Type: Grant
    Filed: February 23, 2001
    Date of Patent: February 18, 2003
    Assignee: Denso Corporation
    Inventors: Hajime Soga, Kenji Kondo, Eiji Ishikawa, Yoshikazu Sakano, Mikimasa Suzuki
  • Patent number: 6511915
    Abstract: A method of electrochemically etching a device, including forming a semiconductor substrate having a p-type semiconductor region on an n-type semiconductor region. A discrete semiconductor region is formed on the p-type semiconductor region and is isolated from the n-type semiconductor region. The n-type semiconductor region is exposed to an electrolyte with an electrical bias applied between the n-type semiconductor region and the electrolyte. The n-type semiconductor region is also exposed to radiation having energy sufficient to excite electron-hole pairs. In addition, a p-n junction reverse bias is applied between the p-type semiconductor region and the n-type semiconductor region to prevent the p-type semiconductor region and the discrete semiconductor region from etching while portions of the n-type semiconductor region exposed to the electrolyte and the radiation are etched.
    Type: Grant
    Filed: March 26, 2001
    Date of Patent: January 28, 2003
    Assignee: Boston MicroSystems, Inc.
    Inventor: Richard Mlcak
  • Patent number: 6500351
    Abstract: A recording head pole production process, and a pole made by the process, in which a combination of wet and dry etching steps are utilized to advantageously provide an undercut in the relatively high magnetic moment material beneath a photoresist area used to define the pole such that any re-deposited layer of material which occurs on the sides of the pole and photoresist area during the dry etching operation is advantageously rendered substantially discontinuous, or weakly linked, and the re-deposited material remaining on the pole itself following a photoresist strip can then be removed by being subjected to a stream of gaseous particles and ultimately carried away by the accompanying gas stream itself. In a particular embodiment disclosed herein the relatively high magnetic moment material may comprise a sputter deposited layer of cobalt-zirconium-tantalum (CoZrTa), iron-aluminum-nitride (FeAlN), iron-tantalum-nitride (FeTaN), iron-nitride (FeN) or similar materials.
    Type: Grant
    Filed: May 24, 1999
    Date of Patent: December 31, 2002
    Assignee: Maxtor Corporation
    Inventors: Andrew L. Wu, Jeffrey G. Greiman, Lawrence G. Neumann, Vijay K. Basra
  • Patent number: 6498104
    Abstract: In one embodiment, the present invention relates to a method of cleaning a low pressure chemical vapor deposition apparatus having TEOS material build-up therein involving contacting the low pressure chemical vapor deposition apparatus with a composition containing at least one lower alcohol. In another embodiment, the present invention relates to a system for cleaning a low pressure chemical vapor deposition apparatus having TEOS material build-up therein, containing a supply of a composition comprising at least one lower alcohol; an injection port for introducing the composition including at least one lower alcohol into the low pressure chemical vapor deposition apparatus; and a pump/vacuum system for removing crystallized TEOS material build-up from the low pressure chemical vapor deposition apparatus.
    Type: Grant
    Filed: February 2, 2001
    Date of Patent: December 24, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Fuodoor Gologhlan, David Chi, Kent Kuohua Chang, Hector Serrato
  • Patent number: 6498091
    Abstract: A method and resultant structure of forming barrier layers in a via hole extending through an inter-level dielectric layer. A first barrier layer of TiSiN is conformally coated by chemical vapor deposition onto the bottom and sidewalls of the via holes and in the field area on top of the dielectric layer. A single plasma sputter reactor is used to perform two steps. In the first step, the wafer rather than the target is sputtered with high energy ions to remove the barrier layer from the bottom of the via but not from the sidewalls. In the second step, a second barrier layer, for example of Ta/TaN, is sputter deposited onto the via bottom and sidewalls. The two steps may be differentiated by power applied to the target, by chamber pressure, or by wafer bias. The second step may include the simultaneous removal of the first barrier layer from the via bottom and sputter deposition of the second barrier layer onto the via sidewalls.
    Type: Grant
    Filed: November 1, 2000
    Date of Patent: December 24, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Ling Chen, Seshadri Ganguli, Wei Cao, Christophe Marcadal
  • Patent number: 6489247
    Abstract: Copper can be pattern etched in a manner which provides the desired feature dimension and integrity, at acceptable rates, and with selectivity over adjacent materials. To provide for feature integrity, the portion of the copper feature surface which has been etched to the desired dimensions and shape must be protected during the etching of adjacent feature surfaces. To avoid the trapping of reactive species interior of the etched copper surface, hydrogen is applied to that surface. Hydrogen is adsorbed on the copper exterior surface and may be absorbed into the exterior surface of the copper, so that it is available to react with species which would otherwise penetrate that exterior surface and react with the copper interior to that surface. Sufficient hydrogen must be applied to the exterior surface of the etched portion of the copper feature to prevent incident reactive species present due to etching of adjacent feature surfaces from penetrating the previously etched feature exterior surface.
    Type: Grant
    Filed: September 8, 1999
    Date of Patent: December 3, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Yan Ye, Allen Zhao, Xiancan Deng, Diana Xiaobing Ma
  • Patent number: 6489244
    Abstract: A method of making a fuse and a fuse, together with systems and integrated circuits where the fuse provides benefits, are described. A fuse comprising a conductive material is formed on a substrate. A series of dielectric layers having a composite thickness is formed on the substrate and the fuse. The series of dielectric layers serves to insulate a series of conductive layers from each other. The conductive layers are disposed above portions of the substrate. An opening is formed extending through a passivation layer and the series of dielectric layers. The opening exposes a portion of the fuse. Another dielectric layer is formed on the fuse and the fuse may thereafter be programmed by directing a laser beam onto the fuse through the opening.
    Type: Grant
    Filed: May 15, 2001
    Date of Patent: December 3, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Roger Lee, Dennis Keller, Ralph Kauffman
  • Publication number: 20020173156
    Abstract: Organic acid components are used to increase the solubility of ozone in aqueous solutions for use in removing organic materials, such as polymeric resist and/or post-etch residues, from the surface of an integrated circuit device during fabrication. Each organic acid component is preferably chosen for its metal-passivating effect. Such solutions can have significantly lower corrosion rates when compared to ozonated aqueous solutions using common inorganic acids for ozone solubility enhancement due to the passivating effect of the organic acid component.
    Type: Application
    Filed: May 16, 2001
    Publication date: November 21, 2002
    Applicant: Micron Technology, Inc.
    Inventors: Donald L. Yates, Paul A. Morgan
  • Publication number: 20020166570
    Abstract: A cleaning method for semiconductor manufacturing process. A to-be-cleaned wafer having a metal layer thereon is provided. The wafer is placed into a chemical cleaning equipment unit to clean the wafer surface with a chemical cleaning solution while protecting the metal layer by a cathodic protection method. Next, the chemical cleaning solution on the wafer surface is rinsed away and the wafer is then dried to complete the cleaning method.
    Type: Application
    Filed: May 31, 2001
    Publication date: November 14, 2002
    Inventor: Chung-Tai Chen
  • Patent number: 6479388
    Abstract: In one aspect, the invention encompasses a semiconductor processing method of forming a material over an uneven surface topology. A substrate having an uneven surface topology is provided. The uneven surface topology comprises a valley between a pair of outwardly projecting features. A layer of material is formed over the uneven surface topology. The layer comprises outwardly projecting portions over the outwardly projecting features of the surface topology and has a gap over the valley. The layer is etched, and the etching forms protective material within the gap while removing an outermost surface of the layer. The etching substantially does not remove the material from the bottom of the gap. In another aspect, the invention encompasses a semiconductor processing method of forming a material over metal-comprising lines. A first insulative material substrate is provided. A pair of spaced metal-comprising lines are formed over the substrate.
    Type: Grant
    Filed: June 6, 2001
    Date of Patent: November 12, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Werner Juengling, Kevin G. Donohoe
  • Patent number: 6472324
    Abstract: The present invention is directed to a method of manufacturing a trench type semiconductor element isolation structure including the steps of: (i) forming a silicon oxide film on a silicon substrate and forming a silicon nitride film on the silicon oxide film; (ii) forming a groove penetrating the silicon nitride film and the silicon oxide film, said groove reaching an interior of the silicon substrate; (iii) forming a thermal oxide film on an inner wall of said groove; (iv) depositing an oxide in said groove; (v) subjecting said oxide to a polishing treatment with the silicon nitride film used as a stopper layer, so that a part of the insulator is removed; (vi) etching the oxide by a predetermined amount of said oxide after completing the step (v); (vii) etching the silicon nitride film after completing the step (vi); and (viii) etching the silicon oxide film after completing the step (vii).
    Type: Grant
    Filed: March 16, 2001
    Date of Patent: October 29, 2002
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Yoshihiko Kusakabe, Yasuki Morino
  • Patent number: 6465159
    Abstract: A robust method for etching an organic low-k insulating layer on a semiconductor device, as disclosed herein, includes introducing into a processing chamber a substrate with an organic insulating layer and an overlying mask layer having an aperture. A plasma is then developed within the chamber from an oxidizing gas and a passivation gas. The passivation gas is preferably either a silicon containing gas or a boron containing gas, or both. The ratio of the oxidizing gas to the passivation gas is preferably at least 10:1. In addition, an inert carrier gas may be provided. The plasma is then used to etch the organic insulating layer through the mask layer, thereby forming a via having essentially vertical sidewalls in the organic low-k insulating layer.
    Type: Grant
    Filed: June 28, 1999
    Date of Patent: October 15, 2002
    Assignee: Lam Research Corporation
    Inventors: Tuqiang Ni, Nancy Tran
  • Patent number: 6465345
    Abstract: A method for eliminating copper atomic residue from the channel oxide layer on semiconductors after chemical-mechanical polishing is provided. After chemical-mechanical polishing, the silicon oxide is plasma etched to remove its surface and any residue. After plasma etching, an etch stop layer of silicon nitride is deposited by chemical-vapor deposition. Both the plasma etch of the silicon dioxide and the chemical-vapor deposition of the silicon nitride can be performed in the same vacuum chamber in the same semiconductor processing tool with only a change of the gas mixture.
    Type: Grant
    Filed: May 28, 1999
    Date of Patent: October 15, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Takeshi Nogami, Shekhar Pramanick
  • Publication number: 20020144974
    Abstract: A method of anisotropic etching of silicon with structures, preferably defined with an etching mask, by using a plasma, with a polymer being applied during a polymerization step to the lateral border of the structures defined by the etching mask, then being partially removed again during the following etching step and being redeposited in deeper side walls of the structure newly formed due to the etching reaction, and the etching is performed with an etching gas containing 3 to 40 vol % oxygen. In this way it is possible to prevent sulfur contamination in the exhaust gas area in high rate etching of silicon.
    Type: Application
    Filed: June 8, 1999
    Publication date: October 10, 2002
    Inventors: FRANZ LAERMER, ANDREA SCHILP
  • Patent number: 6461966
    Abstract: A method of forming a composite dielectric layer comprising the following steps. A structure having at least two semiconductor structures separated by a gap therebetween is provided. A first dielectric layer is formed over the structure, the two semiconductor structures and within the gap between the two semiconductor structures to a thickness as least as high as the top of the semiconductor structures by a first high density plasma (HDP) process. The first HDP process having a first high bias RF power, a low first deposition: sputter ratio and a first chucking bias voltage. A second dielectric layer is then formed over the first dielectric layer by a second HDP process to form the composite dielectric layer. The second HDP process having: a second bias RF power that is less than the first bias RF power; a second deposition: sputter ratio that is greater than the first deposition: sputter ratio; and a second chucking bias voltage that is zero.
    Type: Grant
    Filed: December 14, 2001
    Date of Patent: October 8, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Yao-Hsiang Chen, Chu-Yun Fu, Syung-Ming Jang
  • Patent number: 6458655
    Abstract: A semiconductor manufacturing method is mainly contemplated, improved to prevent an altered surface layer of a resist from being removed when a single patterned resist is used to provide dry-etch and wet-etch successively. On a semiconductor substrate an insulation film and a conductive layer are formed successively. On the conductive layer a patterned resist is formed. With the patterned resist used as a mask, the conductive layer is dry-etched. A surface layer of the patterned resist is partially removed. With the patterned resist used as a mask, the insulation film is wet-etched.
    Type: Grant
    Filed: June 7, 2000
    Date of Patent: October 1, 2002
    Assignees: Mitsubishi Denki Kabushiki Kaisha, Ryoden Semiconductor System Engineering
    Inventors: Kojiro Yuzuriha, Shu Shimizu, Tamotsu Tanaka, Takashi Yano
  • Publication number: 20020137348
    Abstract: A method of electrochemically etching a device, including forming a semiconductor substrate having a p-type semiconductor region on an n-type semiconductor region. A discrete semiconductor region is formed on the p-type semiconductor region and is isolated from the n-type semiconductor region. The n-type semiconductor region is exposed to an electrolyte with an electrical bias applied between the n-type semiconductor region and the electrolyte. The n-type semiconductor region is also exposed to radiation having energy sufficient to excite electron-hole pairs. In addition, a p-n junction reverse bias is applied between the p-type semiconductor region and the n-type semiconductor region to prevent the p-type semiconductor region and the discrete semiconductor region from etching while portions of the n-type semiconductor region exposed to the electrolyte and the radiation are etched.
    Type: Application
    Filed: March 26, 2001
    Publication date: September 26, 2002
    Applicant: Boston MicroSystems, Inc.
    Inventor: Richard Mlcak
  • Patent number: 6455399
    Abstract: In a specific embodiment, the present invention provides a novel process for smoothing a surface of a separated film. The present process is for the preparation of thin semiconductor material films. The process includes a step of implanting by ion bombardment of the face of the wafer by means of ions creating in the volume of the wafer at a depth close to the average penetration depth of the ions, where a layer of gaseous microbubbles defines the volume of the wafer a lower region constituting a majority of the substrate and an upper region constituting the thin film. A temperature of the wafer during implantation is kept below the temperature at which the gas produced by the implanted ions can escape from the semiconductor by diffusion. The process also includes contacting the planar face of the wafer with a stiffener constituted by at least one rigid material layer.
    Type: Grant
    Filed: March 14, 2001
    Date of Patent: September 24, 2002
    Assignee: Silicon Genesis Corporation
    Inventors: Igor J. Malik, Sien G. Kang
  • Patent number: 6451700
    Abstract: A method for polishing wafers includes providing a wafer having a grating structure and a process layer formed over the grating structure; illuminating at least a portion of the process layer and the grating structure with a light source; measuring light reflected from the illuminated portion of the process layer and the grating structure to generate a reflection profile; and determining planarity of the process layer based on the reflection profile. A metrology tool adapted to receive a wafer having a grating structure and a process layer formed over the grating structure includes a light source, a detector, and a data processing unit. The light source is adapted to illuminate at least a portion of the process layer overlying the grating structure. The detector is adapted to measure light reflected from the illuminated portion of the process layer and the grating structure to generate a reflection profile.
    Type: Grant
    Filed: April 25, 2001
    Date of Patent: September 17, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: James Broc Stirton, Kevin R. Lensing
  • Patent number: 6451698
    Abstract: A method for making reliable interconnect structures on a semiconductor substrate having a first dielectric layer is disclosed. The method includes depositing a glue layer of TiN followed by tungsten chemical vapor deposition after the contact or via is defined in the dielectric. Then, tungsten etchback or Chemical Mechanical Polishing (CMP) is performed to remove the tungsten and TiN over the dielectric surface with slight dishing of the tungsten within the plug. Next, a blanket deposition of Copper by electrochemical deposition is performed and Copper CMP is used to remove the copper from the dielectric surface while maintaining a coating of copper over the tungsten in the plug. Then, metal stack deposition, patterning and metal etching is performed and a barrier layer of silicon nitride is presented to minimize the copper diffusion. Finally, a deposition of an Interlevel Dielectric (ILD) is deposited.
    Type: Grant
    Filed: April 7, 1999
    Date of Patent: September 17, 2002
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Wing kei Au, Albert H. Liu
  • Patent number: 6449521
    Abstract: A method and apparatus for reducing fluorine and other sorbable contaminants in plasma reactor used in chemical vapor deposition process such as the deposition of silicon oxide layer by the reaction of TEOS and oxygen. According to the method of the present invention, plasma of an inert gas is maintained in plasma reactor following chamber clean to remove sorbable contaminants such as fluorine. The plasma clean is typically followed by seasoning of the reactor to block or retard remaining contaminants. According to one embodiment of the invention, the combination of chamber clean, plasma clean, and season film is conducted before PECVD oxide layer is deposited on wafer positioned in the plasma reactor.
    Type: Grant
    Filed: September 18, 1998
    Date of Patent: September 10, 2002
    Assignee: Applied Materials, Inc.
    Inventor: Anand Gupta
  • Publication number: 20020119663
    Abstract: A semiconductor material such as Si wafer with a fine structure (porous layer) formed, without using electrical current, on its surface by being contacted with a solution that contains fluoro-complex such as hexafluorotitanate.
    Type: Application
    Filed: February 26, 2002
    Publication date: August 29, 2002
    Inventors: Takashi Matsuura, Junichi Murota, Mitsuo Miyamoto
  • Publication number: 20020119664
    Abstract: A method of etching a stack is provided. Generally, a trench patterned resist layer is placed over a dielectric layer of the stack. A trench is partially etched into the dielectric layer. A simultaneous stripping of the trench patterned resist layer, etching the barrier layer, and etching the trench is then performed. As a result an etch stack may be provided with less damage. The method may be used to provide a dual damascene structure. The dual damascene structure may be provided by etching a via before placing the trench patterned resist layer over the dielectric layer of the stack.
    Type: Application
    Filed: December 22, 2000
    Publication date: August 29, 2002
    Applicant: Lam Research Corporation
    Inventors: Rao Annapragada, Reza Sadjadi
  • Patent number: 6423653
    Abstract: A method for significantly reducing plasma damage during the deposition of inter-layer dielectric (ILD) gapfills on topographic substrates by high density plasma chemical vapor deposition (HDP-CVD). The method can also be applied to the deposition of dielectric layers on silicon oxide covered substrates. The method provides a modification of current state of the art practices in HDP-CVD by a novel variation in the RF input power to the plasma processing chamber during certain portions of the processing cycle. Specifically, top/side RF power is reduced from 3000W/4000W to 1300W/3100W during the heat-up portion of the cycle and plasma lift is eliminated during the wafer release and lift portion of the cycle by turning off the 1000W/2000W top/side RF power. A method for determining the degree of plasma induced damage by measurement of a flatband voltage is also provided.
    Type: Grant
    Filed: January 11, 2000
    Date of Patent: July 23, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chu-Yun Fu, Syun-Ming Jang
  • Patent number: 6423648
    Abstract: A method of forming an ultra-thin gate oxide (14) for a field effect transistor (10). The gate oxide (14) is formed by combining an oxidizing agent (e.g., N2O, CO2) with an etching agent (e.g., H2) and adjusting the partial pressures to controllably grow a thin (˜12 Angstroms) high quality oxide (14).
    Type: Grant
    Filed: December 14, 2000
    Date of Patent: July 23, 2002
    Assignee: Texas Instruments Incorporated
    Inventors: Ming Hwang, Paul Tiner, Sunil Hattangady
  • Patent number: 6416933
    Abstract: The present invention relates to a method for forming an etch mask. A photoresist layer is patterned, wherein d1 is a smallest space dimension of an exposed area of a layer underlying the photoresist layer. A polymer layer is formed to be conformal to the patterned photoresist layer and exposed portions of the underlayer. The polymer layer is etched to form polymer sidewalls, the polymer sidewalls reducing the smallest space dimension of the exposed underlayer area to d2, wherein d2<d1.
    Type: Grant
    Filed: April 1, 1999
    Date of Patent: July 9, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bhanwar Singh, Bharath Rangarajan, Wenge Yang
  • Patent number: 6413866
    Abstract: A method of enriching the surface of a substrate with a solute material that was originally dissolved in the substrate material, to yield a uniform dispersion of the solute material at the substrate surface. The method generally entails the use of a solvent material that is more reactive than the solute material to a chosen reactive agent. The surface of the substrate is reacted with the reactive agent to preferentially form a reaction compound of the solvent material at the surface of the substrate. As the compound layer develops, the solute material segregates or diffuses out of the compound layer and into the underlying substrate, such that the region of the substrate nearest the compound layer becomes enriched with the solute material. At least a portion of the compound layer is then removed without removing the underlying enriched region of the substrate.
    Type: Grant
    Filed: March 15, 2000
    Date of Patent: July 2, 2002
    Assignee: International Business Machines Corporation
    Inventors: Horatio S. Wildman, Lawrence A. Clevenger, Chenting Lin, Kenneth P. Rodbell, Stefan Weber, Roy C. Iggulden, Maria Ronay, Florian Schnabel
  • Patent number: 6410437
    Abstract: Method for forming dual damascene etch structures in wafers, and semiconductor devices formed according to the method. The present invention utilizes the two-step etch process to form dual damascene structures in organosilicate dielectric layers. According to one embodiment of the present invention, a first etch step is undertaken utilizing a first, low selectivity etchant, which etches completely through the trench dielectric and almost completely through the via dielectric, leaving a small remainder of the via dielectric over the barrier layer protecting metalized objects protected by the barrier layer. After the first etch step, a second etch step is performed utilizing a second, highly selective etchant. This second etch step is conducted with little damage to the barrier layer. An alternative embodiment of the present invention contemplates a “trench-first” etch strategy.
    Type: Grant
    Filed: June 30, 2000
    Date of Patent: June 25, 2002
    Assignee: Lam Research Corporation
    Inventors: Janet M. Flanner, Ian Morey
  • Patent number: 6410446
    Abstract: A method of filling a gap is proposed. The method of the invention is applied on a substrate which has conductive structures formed thereon. A HDPCVD is performed to form a dielectric layer on the substrate. The HDPCVD process comprises multi-steps. In a first step, a gas source is added to a deposition chamber to form dielectric material over the substrate. The gas source comprises reactive gas and inert gas. Thus, the first step can simultaneously perform deposition and sputtering. In a second step, the reactive gas is driven out of the deposition chamber. Only sputtering is used to remove a part of the dielectric material at top corners of the conductive structures. In a third step, the reactive gas is again added into the deposition chamber to deposit the dielectric material until filling the gap.
    Type: Grant
    Filed: March 20, 2000
    Date of Patent: June 25, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Cheng-Yuan Tsai, Chih-Chien Liu, Ming-Sheng Yang
  • Patent number: 6410383
    Abstract: A method of forming conducting diffusion barriers by depositing an initial film and implanting ions to modify the film is provided. An initial film having good step coverage is deposited over a semiconductor substrate. The initial material need not have the desired properties for a conducting diffusion barrier, but preferably contains one or more elements to be used in forming a desired film with the appropriate properties. The initial material is deposited by CVD, PECVD or IMP deposition. Ions are preferably implanted using plasma immersion ion implantation (PIII), although other methods are also provided. The method of the present invention produces binary, ternary, quaternary and other more complex films, while providing adequate step coverage.
    Type: Grant
    Filed: March 16, 2000
    Date of Patent: June 25, 2002
    Assignee: Sharp Laboratories of America, Inc.
    Inventor: Yanjun Ma
  • Patent number: 6410445
    Abstract: Method for the fabrication of sensor arrays with individually different sensing surface, where lift off technique and shadow mask technique is used simultaneously. A resist layer with openings at all locations where coatings are intended is applied with lift off technique. Then a shadow mask is used provided with widows only at the openings where deposit is intended for one specific coating. By for instance vapor deposition, coatings are effected where there are openings in the resist and windows in the shadow mask. The shadow mask is moved to the next depositions location and the procedure repeated until all coatings have been effected. The shadow mask is removed as is also the resist giving an improved sensor quality compared to prior-art methods.
    Type: Grant
    Filed: January 25, 2000
    Date of Patent: June 25, 2002
    Assignee: Appliedsensor Sweden AB
    Inventor: Per-Erik Fägerman
  • Patent number: 6410452
    Abstract: A method of manufacturing a semiconductor device having a trench isolation structure includes patterning a mask film on a semiconductor substrate, forming a trench by etching the semiconductor substrate by use of the mask film, filling the trench with an insulating film by repeating depositing the insulating film in the trench and etching the insulating film by sputter etching, removing the mask film, and removing the insulating film by etching a predetermined amount of the insulating film filled in the trench. According to the sputter etching in the step of filling the trench with the insulating film, an edge between a surface of the substrate and an inner wall surface of the trench forms an inclined surface to the surface of the substrate.
    Type: Grant
    Filed: March 1, 2001
    Date of Patent: June 25, 2002
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Naho Nishioka
  • Publication number: 20020068455
    Abstract: A method for removing carbon-rich particles adhered on a copper surface, especially on a copper surface of a copper/low k dielectric dual damascene structure is provided. A barrier layer and a barrier-CMP stopping layer are formed between the copper layer and the low k dielectric layer of the dual damascene structure. After a Cu-CMP process and a barrier CMP process, a chemical buffing polishing process using an acidic aqueous solution under a downward force of about 0.5 to 3 psi is performed to remove carbon-rich particles adhered on the exposed copper surface, which is due to the low k dielectric layer having at least 90% carbon element being exposed and then polished during the Cu-CMP process and the barrier CMP process, resulting from a dishing phenomenon of the copper layer occurring during the two CMP processes. Alternately, a first chemical buffing polishing process is followed after the Cu-CMP process, and a second chemical buffing polishing process is followed after the barrier CMP process.
    Type: Application
    Filed: December 5, 2000
    Publication date: June 6, 2002
    Applicant: United Microelectronics Corp.
    Inventors: Teng-Chun Tsai, Chia-Lin Hsu, Yung-Tsung Wei, Ming-Sheng Yang
  • Publication number: 20020064958
    Abstract: The invention provides a pattern forming method in which a minute resist pattern can be formed with uniform dimension accuracy in the plane of a substrate, while manufacturing costs and processing time are not increased. In a pattern forming method in which after a first resist pattern containing a photo-acid generating agent is formed on a substrate by a lithography method, a resist film containing a cross-linking agent, which reacts with acid, is coated on the substrate in a state where it covers the first resist pattern, a crosslinking reaction is made to occur at an interface between the first resist pattern and the resist film to grow a cross-linked layer, and a second resist pattern made of the cross-linked layer and the first resist pattern is formed, a step of irradiating the first resist pattern with light is carried out before the resist film is coated on the substrate.
    Type: Application
    Filed: October 18, 2001
    Publication date: May 30, 2002
    Inventor: Koichi Takeuchi