Simultaneous Etching And Coating Patents (Class 438/695)
  • Patent number: 7476610
    Abstract: A method for forming semiconductor devices is provided. A gate stack is formed over a surface of a substrate. A plurality of cycles for forming polymer spacers on sides of the gate stack is provided, where each cycle comprises providing a deposition phase that deposits material on the sides of the polymer spacer and over the surface of the substrate, and providing a cleaning phase that removes polymer over the surface of the substrate and shapes a profile of the deposited material. Dopant is implanted into the substrate using the polymer spacers as a dopant mask. The polymer spacers are removed.
    Type: Grant
    Filed: November 10, 2006
    Date of Patent: January 13, 2009
    Assignee: Lam Research Corporation
    Inventors: Ji Soo Kim, Conan Chiang, Daehan Choi, S. M. Reza Sadjadi, Michael Goss
  • Patent number: 7473645
    Abstract: The invention includes methods of etching substrates, methods of forming features on substrates, and methods of depositing a layer comprising silicon, carbon and fluorine onto a semiconductor substrate. In one implementation, a method of etching includes forming a masking feature projecting from a substrate. The feature has a top, opposing sidewalls, and a base. A layer comprising SixCyFz is deposited over the feature, where “x” is from 0 to 0.2, “y” is from 0.3 to 0.9, and “z” is from 0.1 to 0.6. The SixCyFz—comprising layer and upper portions of the feature opposing sidewalls are etched effective to laterally recess such upper portions proximate the feature top relative to lower portions of the feature opposing sidewalls proximate the feature base. After such etching of the SixCyFz—comprising layer and such etching of upper portions of the feature sidewalls, the substrate is etched using the masking feature as a mask.
    Type: Grant
    Filed: November 16, 2006
    Date of Patent: January 6, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Mirzafer Abatchev, Krupakar M. Subramanian
  • Publication number: 20080318429
    Abstract: An object of the present invention is to provide a fabrication method of a semiconductor integrated circuit device capable of improving the throughput, reducing the cost of a cleaning gas and prolonging the life of a process kit by automatically detecting the end point of cleaning in a chamber. A cleaning gas converted into plasma in a plasma gas generator is introduced into a chamber to remove an unnecessary film deposited over the interior wall of the chamber or electrode. By an RF power source adjusted to low output from the film formation time, a high frequency voltage is applied to a lower electrode and an upper electrode. This voltage is detected by an RF sensor and amplified by an electronic module. The voltage thus amplified by the electronic module is input to a termination controller. The termination controller automatically judges the termination of cleaning when the voltage thus input becomes substantially constant at a predetermined voltage or greater.
    Type: Application
    Filed: May 14, 2008
    Publication date: December 25, 2008
    Inventors: Takeshi Ozawa, Yasuyuki Sato
  • Patent number: 7459400
    Abstract: A patterned integrated circuit structure defining a gap or via is fabricated solely by digital printing and bulk processing. A sacrificial lift-off pattern is printed or otherwise formed over a substrate, and then covered by a blanket layer. A mask is then formed, e.g., by printing a wax pattern that covers a region of the blanket layer corresponding to the desired patterned structure, and overlaps the lift-off pattern. Exposed portions of the blanket layer are then removed, e.g., by wet etching. The printed mask and the lift-off pattern are then removed using a lift-off process that also removes any remaining portions of the blanket layer formed over the lift-off pattern. A thin-film transistor includes patterned source/drain structures that are self-aligned to an underlying gate structure by forming a photoresist lift-off pattern that is exposed and developed by a back-exposure process using the gate structure as a mask.
    Type: Grant
    Filed: July 18, 2005
    Date of Patent: December 2, 2008
    Assignee: Palo Alto Research Center Incorporated
    Inventors: Ana C. Arias, Rene A. Lujan, William S. Wong
  • Patent number: 7455893
    Abstract: A method and apparatus for depositing a conformal dielectric layer employing a dep-etch technique features selectively reducing the flow of deposition gases into a process chamber where a substrate having a stepped surface to be covered by the conformal dielectric layer is disposed. By selectively reducing the flow of deposition gases into the process chamber, the concentration of a sputtering gas, from which a plasma is formed, in the process chamber is increased without increasing the pressure therein. It is preferred that the flow of deposition gases be periodically terminated so as to provide a sputtering gas concentration approaching 100%. In this fashion, the etch rate of a conformal dielectric layer having adequate gap-filling characteristics may be greatly increased, while allowing an increase in the deposition rate of the same.
    Type: Grant
    Filed: October 11, 2006
    Date of Patent: November 25, 2008
    Assignee: Applied Materials, Inc.
    Inventor: Kent Rossman
  • Publication number: 20080286972
    Abstract: A chemical composition and method for providing uniform and consistent etching of gate stacks on a semiconductor wafer, whereby the composition includes an etchant and an added ballast gas added. The gate stacks are formed using this combined etchant and ballast gas composition. The ballast gas may either be similar to, or the equivalent of, a gaseous byproduct generated within the processing chamber. The ballast gas is added in either an overload amount, or in an amount sufficient to compensate for varying pattern factor changes across the water. This etchant and added ballast gas form a substantially homogeneous etchant across the entire wafer, thereby accommodating for or compensating for these pattern factor differences. When etching the wafer using this homogeneous etchant, a passivation layer is formed on exposed wafer surfaces. The passivation layer protects the lateral sidewalls of the gate stacks during etch to result in straighter gate stacks.
    Type: Application
    Filed: July 10, 2008
    Publication date: November 20, 2008
    Applicant: International Business Machines Corporation
    Inventors: Timothy J. Dalton, Wesley C. Natzle, Paul W. Pastel, Richard S. Wise, Hongwen Yan, Ying Zhang
  • Publication number: 20080280404
    Abstract: A method for forming a microelectronic structure uses a mask layer located over a target layer. The target layer may be etched while using the mask layer as an etch mask to form an end tapered target layer from the target layer. An additional target layer may be formed over the end tapered target layer and masked with an additional mask layer. The additional target layer may be etched to form a patterned additional target layer separated from the end tapered target layer and absent an additional target layer residue adjacent the end tapered target layer. The method is useful for fabricating CMOS structures including nFET and pFET gate electrodes comprising different NFET and pFET gate electrode materials.
    Type: Application
    Filed: May 10, 2007
    Publication date: November 13, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Michael Chudzik, Bruce B. Doris, William K. Henson, Hongwen Yan, Ying Zhang
  • Patent number: 7439143
    Abstract: Disclosed is a flash memory device. The flash memory device includes a plurality of trench lines in an isolation region of a semiconductor device, a common source region along a word line (WL) direction under a surface portion of the semiconductor substrate, a plurality of gate lines along a vertical direction of the trench line, a drain region on an opposite side of the gate line to the common source region, a drain contact over the drain region, and a uniform by-product layer on the common source region.
    Type: Grant
    Filed: December 12, 2006
    Date of Patent: October 21, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Hyun Ju Lim
  • Patent number: 7435684
    Abstract: This invention relates to electronic device fabrication processes for making devices such as semiconductor wafers and resolves the fluorine loading effect in the reaction chamber of a HDP CVD apparatus used for forming dielectric layers in high aspect ratio, narrow width recessed features. The fluorine loading effect in the chamber is minimized and wafers are provided having less deposition thickness variations by employing the method using a hydrogen plasma treatment of the chamber and the substrate after the chamber has been used to grow a dielectric film on a substrate. After the hydrogen plasma treatment of the chamber, the chamber is treated with an etchant gas to etch the substrate. Preferably a hydrogen gas is then introduced into the chamber after the etching process and the process repeated until the fabrication process is complete. The wafer is then removed from the chamber and a new wafer placed in the chamber and the above fabrication process repeated.
    Type: Grant
    Filed: July 26, 2006
    Date of Patent: October 14, 2008
    Assignee: Novellus Systems, Inc.
    Inventors: Chi-I Lang, Ratsamee Limdulpaiboon, Kan Quan Vo
  • Patent number: 7429535
    Abstract: A method used to form a semiconductor device having a capacitor comprises placing a semiconductor wafer assembly into a chamber of a plasma source, the wafer assembly comprising a layer of insulation having at least one contact therein and a surface, and further comprising a conductive layer over the surface and in the contact. Next, in the chamber, a layer of etch resistant material is formed within the contact over the conductive layer, the etch resistant material not forming over the surface.
    Type: Grant
    Filed: January 9, 2007
    Date of Patent: September 30, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Thomas A. Figura, Kevin G. Donohoe, Thomas Dunbar
  • Patent number: 7429533
    Abstract: A method for providing features in an etch layer is provided. A sacrificial patterned layer with sacrificial features is provided over an etch layer. Conformal sidewalls are formed in the sacrificial features, comprising at least two cycles of a sidewall formation process, wherein each cycle comprises a sidewall deposition phase and a sidewall profile shaping phase. Parts of the sacrificial patterned layer between conformal sidewalls are removed leaving the conformal sidewalls with gaps between the conformal sidewalls where parts of the sacrificial patterned layer were selectively removed. Features are etched in the etch layer using the conformal sidewalls as an etch mask, wherein the features in the etch layer are etched through the gaps between the conformal sidewalls where parts of the sacrificial patterned layer were selectively removed.
    Type: Grant
    Filed: May 10, 2006
    Date of Patent: September 30, 2008
    Assignee: Lam Research Corporation
    Inventors: Zhisong Huang, Jeffrey Marks, S. M. Reza Sadjadi
  • Patent number: 7427568
    Abstract: A method of layer formation on a substrate with high aspect ratio features is disclosed. The layer is formed from a gas mixture comprising one or more process gases and one or more etch species. The one or more process gases react to deposit a material layer on the substrate. In conjunction with the material layer deposition, the etch species selectively remove portions of the deposited material layer adjacent to high aspect ratio feature openings, filling such features in a void-free and/or seam-free manner. The material layer may be deposited on the substrate using physical vapor deposition (PVD) and/or chemical vapor deposition (CVD) techniques.
    Type: Grant
    Filed: June 15, 2006
    Date of Patent: September 23, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Liang-Yuh Chen, Daniel A. Carl, Israel Beinglass
  • Patent number: 7413960
    Abstract: A method of forming a floating gate electrode in a flash memory device. The method includes forming an isolation film in an inactive region so that a step with a predetermined thickness can be generated between an active region and the inactive region, which are defined in a semiconductor substrate, sequentially forming a tunnel oxide film, a polysilicon film for floating gate electrode and an anti-reflection film on the entire surface in which the isolation film is formed, and then forming photoresist patterns in predetermined regions of the anti-reflection film.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: August 19, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Heon Kim
  • Patent number: 7410901
    Abstract: A method for fabricating substrate material to include trenches and unreleased beams with submicron dimensions includes etching a first oxide layer on the substrate to define a first set of voids in the first oxide layer to expose the substrate. A second oxide layer is accreted to the first oxide layer to narrow the first set of voids to become a second set of voids on the substrate. A polysilicon layer is deposited over the second oxide layer, the first oxide layer and the substrate. A third set of voids is etched into the polysilicon layer. Further etching widens the third set of voids to define a fourth set of voids to expose the first oxide layer and the substrate. The first oxide layer and the substrate is deeply etched to define beams and trenches in the substrate.
    Type: Grant
    Filed: April 27, 2006
    Date of Patent: August 12, 2008
    Assignee: Honeywell International, Inc.
    Inventor: Jorg Pilchowski
  • Patent number: 7405139
    Abstract: A method of preventing the formation of cracks on the backside of a silicon (Si) semiconductor chip or wafer during the processing thereof. Also provided is a method for inhibiting the propagation of cracks, which have already formed in the backside of a silicon chip during the processing thereof and prior to the joining thereto of a substrate during the fabrication of an electronic package. The methods entail either treating the backside with a wet etch, or alternatively, applying a protective film layer thereon prior to forming an electronic package incorporating the chip or wafer.
    Type: Grant
    Filed: August 3, 2006
    Date of Patent: July 29, 2008
    Assignee: International Business Machines Corporation
    Inventors: Timothy H. Daubenspeck, Jeffrey P. Gambino, Jerome B. Lasky, Christopher D. Muzzy, Wolfgang Sauter
  • Patent number: 7390748
    Abstract: A polishing inhibiting layer forming additive for a slurry, the slurry so formed, and a method of chemical mechanical polishing are disclosed. The polishing inhibiting layer is formed through application of the slurry to the surface being polished and is removable at a critical polishing pressure. The polishing inhibiting layer allows recessed or low pattern density locations to be protected until a critical polishing pressure is exceeded based on geometric and planarity considerations, rather than slurry or polishing pad considerations. With the additive, polishing rate is non-linear relative to polishing pressure in a recessed/less pattern dense location. In one embodiment, the additive has a chemical structure: [CH3(CH2)xN(R)]M, wherein M is selected from the group consisting of: Cl, Br and I, x equals an integer between 2 and 24, and the R includes three carbon-based functional groups, each having less than eight carbon atoms.
    Type: Grant
    Filed: August 5, 2004
    Date of Patent: June 24, 2008
    Assignee: International Business Machines Corporation
    Inventor: Michael J. MacDonald
  • Patent number: 7384873
    Abstract: A method of manufacturing a semiconductor device, includes: forming a resin layer with a resin containing an aromatic compound on a surface, where an electrode is formed, of a semiconductor substrate, by avoiding at least part of the electrode; removing an oxide film from a surface of the electrode using Ar gas and carbonizing the surface of the resin layer to form a carbonized layer; forming wiring from the electrode to over the carbonized layer; and etching, after forming the wiring, the carbonized layer by O2 plasma using the wiring as a mask so as to remove the carbonized layer partially.
    Type: Grant
    Filed: April 12, 2006
    Date of Patent: June 10, 2008
    Assignee: Seiko Epson Corporation
    Inventor: Kazunari Nagata
  • Patent number: 7381638
    Abstract: First material (106) is situated on the surface of a substructure (100 and 102) and in an opening (104), such as a Wench, that extends partway through the substructure. Second material (108) is situated on the first material in the opening. A physical sputter etch is performed on the structure while it is in a sputter etch module (206) to remove the parts of the first material overlying the substructure's surface and situated above the opening and to remove part of the second material overlying the first material in the opening so that remaining parts of the first and second materials are situated in the opening. The so-modified structure is transferred from the sputter etch module under a substantial vacuum, normally via a transfer module (202), to a deposition module (203, 204, or 205) where a layer of third material is deposited over the substructure's surface and over the parts of the first and second materials in the opening.
    Type: Grant
    Filed: June 1, 2005
    Date of Patent: June 3, 2008
    Assignee: National Semiconductor Corporation
    Inventor: Vassili Kitch
  • Patent number: 7375032
    Abstract: In a method according to the present invention, a substrate thinning process is performed on a bumped substrate prior to the ultimate solder reflow process to heal bump defects caused by the substrate thinning process. Concurrently, the risk of substrate breakage is reduced compared to the prior art process since the number of process steps, requiring handling of thinned substrates, is reduced.
    Type: Grant
    Filed: May 9, 2005
    Date of Patent: May 20, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Frank Seliger, Matthias Lehr, Marcel Wieland, Lothar Mergili, Frank Kuechenmeister
  • Patent number: 7371671
    Abstract: A method for forming a semiconductor device includes forming a photoresist layer over a substrate and patterning the photoresist layer to form photoresist portions. A second layer is formed over the substrate in areas not covered by the photoresist portions and the photoresist portions are removed. After removing the photoresist portions, the second layer is used to modify the substrate to create at least a portion of the semiconductor device.
    Type: Grant
    Filed: February 3, 2005
    Date of Patent: May 13, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ching-Yu Chang, Chin-Hsiang Lin, Burn Jeng Lin
  • Patent number: 7358184
    Abstract: A method of forming a conductive via plug is disclosed. The conductive via plug is formed by printing a solution comprising a solvent with insulating material dissolve capability and a conductive material by an inkjet method. The formed conductive via plug has a low resistivity and thus may serve as an electrical connection between two separate conductive layers. This manufacturing method of the conductive via plug may achieve simultaneously deposition, patterning and etching purposes, which significantly simplifies the manufacturing process.
    Type: Grant
    Filed: April 7, 2004
    Date of Patent: April 15, 2008
    Assignee: Industrial Technology Research Institute
    Inventors: Chien-Hung Liu, Ming-Huan Yang, Jane Chang, Chun-Jung Chen, Chao-Kai Cheng, Kou-Chen Liu
  • Patent number: 7345002
    Abstract: A method for the duplication of microscopic patterns from a master to a substrate is disclosed, in which a replica of a topographic structure on a master is formed and transferred when needed onto a receiving substrate using one of a variety of printing or imprint techniques, and then dissolved. Additional processing steps can also be carried out using the replica before transfer, including the formation of nanostructures, microdevices, or portions thereof. These structures are then also transferred onto the substrate when the replica is transferred, and remain on the substrate when the replica is dissolved. This is a technique that can be applied as a complementary process or a replacement for various lithographic processing steps in the fabrication of integrated circuits and other microdevices.
    Type: Grant
    Filed: October 27, 2004
    Date of Patent: March 18, 2008
    Assignee: The Board of Trustees of the Leland Stanford Junior University
    Inventor: Charles Daniel Schaper
  • Publication number: 20080026586
    Abstract: For fabricating a phase change memory cell, a layer of phase change material and a layer of a first electrode material are deposited. In addition, the first electrode material is patterned using an etchant including a low-reactivity halogen element such as bromine or iodine to form a first electrode. By using the low-reactivity halogen element, change to the composition of the phase change material and formation of undercut and deleterious halogen by-product are avoided.
    Type: Application
    Filed: November 10, 2006
    Publication date: January 31, 2008
    Inventors: Hong Cho, Seung-Pil Chung, Young-Jae Kim
  • Patent number: 7300878
    Abstract: Gas switching is used during an etch process to modulate the characteristics of the etch. The etch process comprises a sequence of at least three steps, wherein the sequence is repeated at least once. For example, the first step may result in a high etch rate of oxide (108) while the second step is a polymer coating steps and the third step results in a low etch rate of oxide and high etch rate of another material (114) and/or sputtering.
    Type: Grant
    Filed: May 25, 2006
    Date of Patent: November 27, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Ping Jiang, Francis Celii
  • Patent number: 7297640
    Abstract: A two-step high density plasma-CVD process is described wherein the argon content in the film is controlled by using two different argon concentrations in the argon/silane/oxygen gas mixture used for generating the high density plasma. The first step deposition uses high argon concentration and low sputter etch-to-deposition (E/D) ratio. High E/D ratio maintains the gap openings without necking. In the second step, a lower argon concentration and lower E/D ratio are used. Since observed metal defects are caused by argon diffusion in the top 200-300 nm of the HDP-CVD film, by controlling argon concentration in the top part of the film (i.e. second step deposition) to a low value, a reduced number of metal defects are achieved.
    Type: Grant
    Filed: January 13, 2005
    Date of Patent: November 20, 2007
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Jun Xie, Hoon Lian Yap, Chuin Boon Yeap, Weoi San Lok
  • Patent number: 7294578
    Abstract: A method used to form a semiconductor device having a capacitor comprises placing a semiconductor wafer assembly into a chamber of a plasma source, the wafer assembly comprising a layer of insulation having at least one contact therein and a surface, and further comprising a conductive layer over the surface and in the contact. Next, in the chamber, a layer of etch resistant material is formed within the contact over the conductive layer, the etch resistant material not forming over the surface.
    Type: Grant
    Filed: December 22, 1999
    Date of Patent: November 13, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Thomas A. Figura, Kevin G. Donohoe, Thomas Dunbar
  • Patent number: 7291561
    Abstract: The present invention relates to a chip package that includes a semiconductor device and at least one micro electromechanical structure (MEMS) such that the semiconductor device and the MEMS form an integrated package. One embodiment of the present invention includes a semiconductor device, a first MEMS device disposed in a conveyance such as a film, and a second MEMS device disposed upon the semiconductor device through a via in the conveyance. The present invention also relates to a process of forming a chip package that includes providing a conveyance such as a tape automated bonding (TAB) structure, that may hold at least one MEMS device. The method is further carried out by disposing the conveyance over the active surface of the device in a manner that causes the at least one MEMS to communicate electrically to the active surface. Where appropriate, a sealing structure such as a solder ring may be used to protect the MEMS.
    Type: Grant
    Filed: July 21, 2003
    Date of Patent: November 6, 2007
    Assignee: Intel Corporation
    Inventors: Qing Ma, Peng Cheng, Valluri Rao
  • Patent number: 7279425
    Abstract: A polishing technique wherein scratches, peeling, dishing and erosion are suppressed, a complex cleaning process and slurry supply/processing equipment are not required, and the cost of consumable items such as slurries and polishing pads is reduced. A metal film formed on an insulating film comprising a groove is polished with a polishing solution containing an oxidizer and a substance which renders oxides water-soluble, but not containing a polishing abrasive.
    Type: Grant
    Filed: October 17, 2006
    Date of Patent: October 9, 2007
    Assignee: Hitachi, Ltd.
    Inventors: Seiichi Kondo, Yoshio Homma, Noriyuki Sakuma, Kenichi Takeda, Kenji Hinode
  • Patent number: 7271101
    Abstract: A method for depositing dielectric material into gaps between wiring lines in the formation of a semiconductor device includes the formation of a cap layer and the formation of gaps into which high density plasma chemical vapor deposition (HDPCVD) dielectric material is deposited. First and second antireflective coatings may be formed on the wiring line layer, the first and second antireflective coatings being made from different materials. Both antireflective coatings and the wiring line layer are etched through to form wiring lines separated by gaps. The gaps between wiring lines may be filled using high density plasma chemical vapor deposition.
    Type: Grant
    Filed: December 22, 2005
    Date of Patent: September 18, 2007
    Assignee: United Microelectronics Corporation
    Inventors: Chih-Chien Liu, Ta-Shan Tseng, Wen-Bin Shieh, Juan-Yuan Wu, Water Lur, Shih-Wei Sun
  • Patent number: 7256121
    Abstract: The present invention provides a method for forming an interconnect on a semiconductor substrate 100. The method includes forming an opening 230 over an inner surface of the opening 130, the depositing forming a reentrant profile near a top portion of the opening 130. A portion of barrier 230 is etched, which removes at least a portion of the barrier 230 to reduce the reentrant profile. The etching also removes at least a portion of the barrier 230 layer at the bottom of the opening 130.
    Type: Grant
    Filed: December 2, 2004
    Date of Patent: August 14, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Duofeng Yue, Stephan Grunow, Satyavolu S. Papa Rao, Noel M. Russell, Montray Leavy
  • Patent number: 7256134
    Abstract: The present invention includes a process for selectively etching a low-k dielectric material formed on a substrate using a plasma of a gas mixture in a plasma etch chamber. The gas mixture comprises a fluorine-rich fluorocarbon or hydrofluorocarbon gas, a nitrogen-containing gas, and one or more additive gases, such as a hydrogen-rich hydrofluorocarbon gas, an inert gas and/or a carbon-oxygen gas. The process provides a low-k dielectric to a photoresist mask etching selectivity ratio greater than about 5:1, a low-k dielectric to a barrier/liner layer etching selectivity ratio greater about 10:1, and a low-k dielectric etch rate higher than about 4000 ?/min.
    Type: Grant
    Filed: August 1, 2003
    Date of Patent: August 14, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Yunsang Kim, Neungho Shin, Heeyeop Chae, Joey Chiu, Yan Ye, Fang Tian, Xiaoye Zhao
  • Patent number: 7244679
    Abstract: Techiques for forming a silicon quantum dot, which can be applied to the formation of a semiconductor memory device, are disclosed. The techniques may include depositing a first dielectric layer on a semiconductor substrate, depositing a polysilicon layer on the first dielectric layer, forming a plurality of metal clusters on the polysilicon layer in regular distance, and etching the polysilicon layer using the plurality of metal clusters as a mask. As disclosed herein, it is possible to form the silicon quantum dots having the fineness and uniformity characteristic together with the single crystalline level characteristic.
    Type: Grant
    Filed: August 10, 2004
    Date of Patent: July 17, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Kwan-Ju Koh
  • Patent number: 7235478
    Abstract: A polymer spacer material may increase the dimensions of the patterned photoresist that is used as a mask to etch the layers below the photoresist, which in turn translates into smaller dimensions etched into the underlying materials. This allows for the formation of integrated circuits having smaller features, smaller overall size, and greater density of features. In particular, the use of a polymer spacer material allows for the formation of contacts within flash memory cells having decreased dimensions so that higher density flash memory cells may be created without causing shorts between contacts or shorts due to misalignment of the contacts. Additionally, the use of the polymer spacer material extends the use of photolithography technologies that are used to form the patterns into the photoresists.
    Type: Grant
    Filed: January 12, 2005
    Date of Patent: June 26, 2007
    Assignee: Intel Corporation
    Inventors: Quain Geng, Jeff Junhao Xu
  • Patent number: 7226869
    Abstract: Methods for forming a protective polymeric coating on a silicon or silicon-carbide electrode of a plasma processing chamber are provided. The polymeric coating provides protection to the underlying surface of the electrode with respect to exposure to constituents of plasma and gaseous reactants. The methods can be performed during a process of cleaning the chamber, or during a process for etching a semiconductor substrate in the chamber.
    Type: Grant
    Filed: October 29, 2004
    Date of Patent: June 5, 2007
    Assignee: Lam Research Corporation
    Inventors: Kenji Takeshita, Tsuyoshi Aso, Seiji Kawaguchi, Thomas McClard, Wan-Lin Chen, Enrico Magni, Michael Kelly, Michelle Lupan, Robert Hefty
  • Patent number: 7226852
    Abstract: A method of forming a feature in a low-k dielectric layer is provided. A low-k dielectric layer is placed over a substrate. A patterned photoresist mask is placed over the low-k dielectric layer. At least one feature is etched into the low-k dielectric layer. A CO conditioning is preformed on the at least one feature after the at least one feature is etched. The patterned photoresist mask is stripped after the CO conditioning.
    Type: Grant
    Filed: June 10, 2004
    Date of Patent: June 5, 2007
    Assignee: Lam Research Corporation
    Inventors: Siyi Li, Helen H. Zhu, Howard Dang, Thomas S. Choi, Peter Loewenhardt
  • Patent number: 7217945
    Abstract: The present invention relates to a process of forming a phase-change memory. A lower electrode is disposed in a first dielectric film. The lower electrode comprises an upper section and a lower section. The upper section extends beyond the first dielectric film. Resistivity in the upper section is higher than in the lower section. A second dielectric film is disposed over the first dielectric film and has an upper surface that is coplanar with the upper section at an upper surface.
    Type: Grant
    Filed: March 23, 2005
    Date of Patent: May 15, 2007
    Assignee: Intel Corporation
    Inventors: Charles Dennison, Chien Chiang
  • Patent number: 7205240
    Abstract: A gapfill process is provided using cycling of HDP-CVD deposition, etching, and deposition step. The fluent gas during the first deposition step includes an inert gas such as He, but includes H2 during the remainder deposition step. The higher average molecular weight of the fluent gas during the first deposition step provides some cusping over structures that define the gap to protect them during the etching step. The lower average molecular weight of the fluent gas during the remainder deposition step has reduced sputtering characteristics and is effective at filling the remainder of the gap.
    Type: Grant
    Filed: June 4, 2003
    Date of Patent: April 17, 2007
    Assignee: Applied Materials, Inc.
    Inventors: M. Ziaul Karim, Bikram Kapoor, Anchuan Wang, Dong Qing Li, Katsunari Ozeki, Manoj Vellaikal, Zhuang Li
  • Patent number: 7199046
    Abstract: An interconnect structure in back end of line (BEOL) applications comprising a tunable etch resistant anti-reflective (TERA) coating is described. The TERA coating can, for example, be incorporated within a single damascene structure, or a dual damascene structure. The TERA coating can serve as part of a lithographic mask for forming the interconnect structure, or it may serve as a hard mask, a chemical mechanical polishing (CMP) stop layer, or a sacrificial layer during CMP.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: April 3, 2007
    Assignee: Tokyo Electron Ltd.
    Inventors: Jeffrey T. Wetzel, David C. Wang, Eric M. Lee, Dorel Ioan Toma
  • Patent number: 7192871
    Abstract: A semiconductor device includes an interlayer insulation film, an underlying line provided in the interlayer insulation film, a liner film overlying the interlayer insulation film, an interlayer insulation film overlying the liner film. The underlying line has a lower hole and the liner film and the interlayer insulation film have an upper hole communicating with the lower hole, and the lower hole is larger in diameter than the upper hole. The semiconductor device further includes a conductive film provided at an internal wall surface of the lower hole, a barrier metal provided along an internal wall surface of the upper hole, and a Cu film filling the upper and lower holes. The conductive film contains a substance identical to a substance of the barrier metal. A highly reliable semiconductor device can thus be obtained.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: March 20, 2007
    Assignee: Renesas Technology Corp.
    Inventors: Kazuyoshi Maekawa, Kenichi Mori
  • Patent number: 7183171
    Abstract: A capacitor structure which has generally pyramidal or stepped profile to prevent or reduce dielectric layer breakdown is disclosed. The capacitor structure includes a first conductive layer, at least one dielectric layer having a first area provided on the first conductive layer and a second conductive layer provided on the at least one dielectric layer. The second conductive layer has a second area which is less than the first area of the at least one dielectric layer. A method of fabricating a capacitor structure is also disclosed.
    Type: Grant
    Filed: October 17, 2005
    Date of Patent: February 27, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kun-Ming Huang, YJ Wang, Ying-De Chen, Eugene Chu, Fu-Hsin Chen, Tzu-Yang Wu
  • Patent number: 7183214
    Abstract: In one embodiment, a semiconductor substrate is placed into a process chamber. A gas mixture including a silicon-containing gas, a fluorine-containing gas, an inert gas, and an oxygen gas is introduced into the chamber at a pressure range of from about 30 mTorr to about 90 mTorr. During this time, deposition and etching processes are concurrently performed using a plasma to form a high-density plasma (HDP) insulating layer on the semiconductor substrate. A ratio of deposition to etching is from about 3:1 to about 10:1. A ratio of a flow rate of the fluorine-containing gas to a flow rate of the silicon-containing gas is less than about 0.9.
    Type: Grant
    Filed: September 22, 2005
    Date of Patent: February 27, 2007
    Assignee: Samsung Electronics Co., Lgd.
    Inventors: Jeong-Hoon Nam, Jin-Ho Jeon
  • Patent number: 7179735
    Abstract: Provided is a method of manufacturing a semiconductor device. According to the present invention, it is possible that an interlayer insulating film is planarized by forming the interlayer insulating film using multiple simultaneous deposition-and-etch processes without carrying out a subsequent planarization process. In addition, smoothness can be variably controlled by adjusting the deposition and etch rate.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: February 20, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Deok Kim
  • Patent number: 7160809
    Abstract: In a process and device for depositing an at least partially crystalline silicon layer a plasma is generated and a substrate (24) is exposed under the influence of the plasma to a silicon-containing source fluid for deposition of silicon therefrom. A pressure drop is applied between a location (12) where the source fluid is supplied and the substrate (24). In addition to the source fluid an auxiliary fluid is also injected which is able to etch non-crystalline silicon atoms. The substrate (24) is exposed to both the source fluid and the auxiliary fluid.
    Type: Grant
    Filed: April 12, 2002
    Date of Patent: January 9, 2007
    Assignee: Technische Universiteit
    Inventors: Edward Aloys Gerard Hamers, Arno Hendrikus Marie Smets, Mauritius Cornelius Maria Van De Sanden, Daniel Cornelis Schram
  • Patent number: 7160810
    Abstract: The present invention discloses a method for forming an interlayer insulation film in a semiconductor device, comprising the steps of: sequentially forming a porous low dielectric insulation film and a capping layer on the semiconductor substrate on which a few elements of the semiconductor device have been formed; and forming damascene patterns in the porous low dielectric insulation film by an etching process, and forming a protection film for closing pores exposed during the etching process at the same time.
    Type: Grant
    Filed: June 29, 2004
    Date of Patent: January 9, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventors: Kang Sup Shin, Sang Wook Ryu
  • Patent number: 7157123
    Abstract: Methods and equipment for depositing films. In certain embodiments, there is provided a deposition chamber having a substrate-coating region and an electrode-cleaning region. In these embodiments, an electrode is positioned in the deposition chamber and has an interior cavity in which first and second magnet systems are disposed. In certain embodiments, there is provided a method for depositing films onto substrates using a deposition chamber of the described nature. The invention also provides electrode assemblies for film-deposition equipment. In certain embodiments, the electrode assembly comprises a rotatable electrode (optionally having an outer coating of carbon or the like) having an interior cavity, with stationary first and second generally-opposed magnet systems being disposed in this interior cavity.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: January 2, 2007
    Assignee: Cardinal CG Company
    Inventor: Klaus Hartig
  • Patent number: 7153771
    Abstract: A method for forming a metal contact in a semiconductor device includes the steps of: forming a bottom wire connected with a metal wire on a substrate; forming an inter-layer insulation layer on an entire surface of a substrate substructure including the bottom wire and the substrate; forming a metal contact hard mask layer on the inter-layer insulation layer; forming a photosensitive layer pattern defining a contact hole on the metal contact hard mask layer; etching the metal contact hard mask layer by using the photosensitive layer pattern as an etch barrier layer; etching the inter-layer insulation layer with use of the etched metal contact hard mask layer as an etch barrier layer to thereby form the contact hole; and forming a metal contact connected to the substrate within the contact hole.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: December 26, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventor: Byung-Soo Eun
  • Patent number: 7153778
    Abstract: A patterned mask can be formed as follows. A first patterned photoresist is formed over a masking layer and utilized during a first etch into the masking layer. The first etch extends to a depth in the masking layer that is less than entirely through the masking layer. A second patterned photoresist is subsequently formed over the masking layer and utilized during a second etch into the masking layer. The combined first and second etches form openings extending entirely through the masking layer and thus form the masking layer into the patterned mask. The patterned mask can be utilized to form a pattern in a substrate underlying the mask. The pattern formed in the substrate can correspond to an array of capacitor container openings. Capacitor structure can be formed within the openings. The capacitor structures can be incorporated within a DRAM array.
    Type: Grant
    Filed: February 20, 2004
    Date of Patent: December 26, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Brett W. Busch, Luan C. Tran, Ardavan Niroomand, Fred D. Fishburn, Yoshiki Hishiro, Ulrich C. Boettiger, Richard D. Holscher
  • Patent number: 7141503
    Abstract: A method for forming a pre-metallization layer on an underlying micro-structure, and a corresponding micro-structure formed by the method. The micro-structure may be a semiconductor circuit and/or a Micro-Electro-Mechanical Systems (MEMS) device. A first layer of undoped silicate glass is deposited on a micro-structure. Then, a layer of phospho silicate glass is deposited on the first layer of undoped silicate glass. This combination is then densified by applying a temperature to the combination that is sufficient to densify the layer of phospho-silicate glass, while being below the glass flow temperature. After densification, a second layer of undoped silicate glass is deposited on the densified layer of phospho silicate glass. Finally, the upper surface of the second layer of undoped silicate glass is polished using a chemical mechanical polishing process. The result is a dielectric layer of high density and low stress, and that reduces soft errors and defects.
    Type: Grant
    Filed: June 25, 2004
    Date of Patent: November 28, 2006
    Assignee: AMI Semiconductor, Inc
    Inventors: John Naughton, Mark M. Nelson
  • Patent number: 7138336
    Abstract: A plasma enhanced atomic layer deposition (PEALD) apparatus and a method of forming a conductive thin film using the same are disclosed. According to the present invention of a PEALD apparatus and a method, a process gas inlet tube and a process gas outlet tube are installed symmetrically and concentrically with respect to a substrate, thereby allowing the process gas to flow uniformly, evenly and smoothly over the substrate, thereby forming a thin film uniformly over the substrate. A uniquely designed showerhead assembly provides not only reduces the volume of the reactor space, but also allows the process gases to flow uniformly, evenly and smoothly throughout the reation space area and reduces the volume of the reaction space, and the smaller volume makes it easier and fast to change the process gases for sequential and repeated process operation.
    Type: Grant
    Filed: August 6, 2001
    Date of Patent: November 21, 2006
    Assignee: ASM Genitech Korea Ltd.
    Inventors: Chun Soo Lee, Min Sub Oh, Hyung Sang Park
  • Patent number: 7132134
    Abstract: A method and apparatus for depositing a conformal dielectric layer employing a dep-etch technique features selectively reducing the flow of deposition gases into a process chamber where a substrate having a stepped surface to be covered by the conformal dielectric layer is disposed. By selectively reducing the flow of deposition gases into the process chamber, the concentration of a sputtering gas, from which a plasma is formed, in the process chamber is increased without increasing the pressure therein. It is preferred that the flow of deposition gases be periodically terminated so as to provide a sputtering gas concentration approaching 100%. In this fashion, the etch rate of a conformal dielectric layer having adequate gap-filling characteristics may be greatly increased, while allowing an increase in the deposition rate of the same.
    Type: Grant
    Filed: September 10, 2004
    Date of Patent: November 7, 2006
    Assignee: Applied Materials, Inc.
    Inventor: Kent Rossman