Coating Of Sidewall Patents (Class 438/696)
  • Patent number: 8211787
    Abstract: Some embodiments include methods of forming semiconductor constructions in which a semiconductor material sidewall is along an opening, a protective organic material is over at least one semiconductor material surface, and the semiconductor material sidewall and protective organic material are both exposed to an etch utilizing at least one fluorine-containing composition. The etch is selective for the semiconductor material relative to the organic material, and reduces sharpness of at least one projection along the semiconductor material sidewall. In some embodiments, the opening is a through wafer opening, and subsequent processing forms one or more materials within such through wafer opening to form a through wafer interconnect. In some embodiments, the opening extends to a sensor array, and the protective organic material is comprised by a microlens system over the sensor array. Subsequent processing may form a macrolens structure across the opening.
    Type: Grant
    Filed: December 3, 2010
    Date of Patent: July 3, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Swarnal Borthakur, Richard L. Stocks
  • Patent number: 8211803
    Abstract: Methods are disclosed, such as those involving increasing the density of isolated features in an integrated circuit. Also disclosed are structures associated with the methods. In one or more embodiments, contacts are formed on pitch with other structures, such as conductive interconnects. The interconnects may be formed by pitch multiplication. To form the contacts, in some embodiments, a pattern corresponding to some of the contacts is formed in a selectively definable material such as photoresist. The features in the selectively definable material are trimmed to desired dimensions. Spacer material is blanket deposited over the features in the selectively definable material and the deposited material is then etched to leave spacers on sides of the features. The selectively definable material is removed to leave a mask defined by the spacer material. The pattern defined by the spacer material may be transferred to a substrate, to form on pitch contacts.
    Type: Grant
    Filed: May 17, 2010
    Date of Patent: July 3, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Sandhu, Mark Kiehlbauch, Steve Kramer, John Smythe
  • Publication number: 20120153380
    Abstract: A method for fabricating a semiconductor device includes forming a first trench by etching a substrate, forming first spacers on sidewalls of the first trench, forming a second trench by etching the substrate under the first trench, forming second spacers on sidewalls of the second trench, forming a third trench, which has a wider width than a width between the second spacers, by etching the substrate under the second trench, forming a liner layer on the surface of the third trench, and exposing one of the sidewalls of the second trench by selectively removing the second spacers.
    Type: Application
    Filed: June 1, 2011
    Publication date: June 21, 2012
    Inventors: Sang-Do Lee, Uk Kim
  • Patent number: 8202757
    Abstract: An image sensor includes readout circuitry on a first substrate, a metal line electrically connected with the readout circuitry, a dielectric on the metal line, an image sensing device on the dielectric, including first and second conductivity type layers, a contact plug in a via hole penetrating the image sensing device to connect the first conductivity type layer with the metal line, and a sidewall dielectric in the via hole at a sidewall of the second conductivity type layer.
    Type: Grant
    Filed: July 10, 2009
    Date of Patent: June 19, 2012
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Chang Hun Han
  • Publication number: 20120149202
    Abstract: A method for fabricating a semiconductor device includes forming a trench by etching a substrate using a hard mask layer as an etch barrier, forming an insulation material which covers sidewalls of the trench, forming a sacrificial material which fills the trench and is planarized to expose the surface of the hard mask layer, forming a masking layer having a damaged region over the sacrificial material, selectively removing the damaged region of the masking layer, exposing a portion of the insulation material, which is formed at a sidewall of the trench, by etching a portion of the sacrificial material using the remaining masking layer as a barrier, and forming a side contact by removing the exposed insulation material.
    Type: Application
    Filed: December 29, 2010
    Publication date: June 14, 2012
    Inventor: Seung-Seok PYO
  • Publication number: 20120149201
    Abstract: A method for forming a stair-step structure in a substrate is provided. An organic mask is formed over the substrate. A hardmask with a top layer and sidewall layer is formed over the organic mask. The sidewall layer of the hard mask is removed while leaving the top layer of the hardmask. The organic mask is trimmed. The substrate is etched. The forming the hardmask, removing the sidewall layer, trimming the organic mask, and etching the substrate are repeated a plurality of times.
    Type: Application
    Filed: December 14, 2010
    Publication date: June 14, 2012
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Qian Fu, Hyun-Yong Yu
  • Publication number: 20120149200
    Abstract: A method of forming dielectric spacers including providing a substrate comprising a first region having a first plurality of gate structures and a second region having a second plurality of gate structures and at least one oxide containing material or a carbon containing material. Forming a nitride containing layer over the first region having a thickness that is less than the thickness of the nitride containing layer that is present in the second region. Forming dielectric spacers from the nitride containing layer on the first plurality the second plurality of gate structures. The at least one oxide containing material or carbon containing material accelerates etching in the second region so that the thickness of the dielectric spacers in the first region is substantially equal to the thickness of the dielectric spacers in the second region of the substrate.
    Type: Application
    Filed: December 13, 2010
    Publication date: June 14, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: James A. Culp, John J. Ellis-Monaghan, Jeffrey P. Gambino, Kirk D. Peterson, Jed H. Rankin, Christa R. Willets
  • Publication number: 20120149203
    Abstract: A method for forming a stair-step structure in a substrate is provided. An organic mask is formed over the substrate. A hardmask with a top layer and sidewall layer is formed over the organic mask. The sidewall layer of the hard mask is removed while leaving the top layer of the hardmask. The organic mask is trimmed. The hardmask is removed. The substrate is etched. The forming the hardmask, removing the sidewall layer, trimming the organic mask, and etching the substrate are repeated a plurality of times.
    Type: Application
    Filed: July 19, 2011
    Publication date: June 14, 2012
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Qian Fu, Ce Qin, Hyun-Yong Yu
  • Publication number: 20120142175
    Abstract: A method and manufacture for memory device fabrication is provided. In one embodiment, at least one oxide-nitride spacer is formed as follows. An oxide layer is deposited over a flash memory device such that the deposited oxide layer is at least 250 Angstroms thick. The flash memory device includes a substrate and dense array of word line gates with gaps between each of the word lines gate in the dense array. Also, the deposited oxide layer is deposited such that it completely gap-fills the gaps between the word line gates of the dense array of word line gates. Next, a nitride layer is depositing over the oxide layer. Then, the nitride layer is etched until the at least a portion of the oxide layer is exposed. Next, the oxide layer is etched until at least a portion of the substrate is exposed.
    Type: Application
    Filed: December 3, 2010
    Publication date: June 7, 2012
    Applicant: Spansion LLC
    Inventors: Angela T. HUI, Shenqing Fang
  • Patent number: 8193093
    Abstract: A die to die bonding system and method includes an upper die having a front side, a back side, and a fully filled thru silicon via, a portion of the fully filled thru silicon via protruding from the back side of the upper die. A lower die includes a front side, a back side, and a partially filled thru silicon via formed to define a via opening exposed to the front side of the die, a portion of the partially filled thru silicon via protruding from the back side of the lower die. An interconnect bonds an outer surface of the protruding portion of the upper die thru silicon via with an inner surface of via opening in the lower die.
    Type: Grant
    Filed: May 18, 2011
    Date of Patent: June 5, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Satyendra Singh Chauhan
  • Patent number: 8192641
    Abstract: Methods are provided for fabricating an electronic device having at least one sidewall spacer formed adjacent a selected surface. In one embodiment, the method includes the step of depositing spacer material adjacent first and second raised structures formed on the substrate and extending along substantially perpendicular axes. The method further includes the step of selectively removing spacer material laterally adjacent one of the first raised structure and the second raised structure. During the step of selectively removing, the electronic device is bombarded with ions from a first predetermined direction forming a first predetermined grazing angle with the substrate such that the spacer material adjacent a first sidewall of the first raised structure is substantially exposed to the ion bombardment while the spacer material adjacent opposing sidewalls of the second raised structure is substantially shielded therefrom.
    Type: Grant
    Filed: July 23, 2009
    Date of Patent: June 5, 2012
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventor: Frank Scott Johnson
  • Publication number: 20120135605
    Abstract: A method for fabricating a semiconductor device includes forming a first trench by etching a substrate, forming a liner layer on a surface of the first trench, forming a sacrificial spacer pattern covering one sidewall of the first trench over the liner layer, forming a second trench by etching the substrate under the first trench using the sacrificial spacer pattern and the liner layer as etch barriers, forming a protection layer on a surface of the second trench, and forming a side contact region by selectively removing the protection layer formed on an upper portion of one sidewall of the second trench.
    Type: Application
    Filed: September 13, 2011
    Publication date: May 31, 2012
    Inventor: Won-Kyu KIM
  • Publication number: 20120129348
    Abstract: A laser processing method of converging laser light into an object to be processed made of silicon so as to form a modified region and etching the object along the modified region so as to form the object with a through hole comprises a laser light converging step of converging the laser light at the object so as to form the modified region along a part corresponding to the through hole in the object; an etch resist film producing step of producing an etch resist film resistant to etching on an outer surface of the object after the laser light converging step; and an etching step of etching the object so as to advance the etching selectively along the modified region and form the through hole after the etch resist film producing step; while the laser light converging step exposes the modified region to the outer surface of the object.
    Type: Application
    Filed: July 19, 2011
    Publication date: May 24, 2012
    Applicant: HAMAMATSU PHOTONICS K.K.
    Inventors: Hideki Shimoi, Keisuke Araki
  • Patent number: 8183112
    Abstract: A method for fabricating a semiconductor device with a vertical channel includes providing a substrate over which a hard mask pattern is formed, forming pillars over the substrate using the hard mask pattern thereby forming a resultant structure, forming an insulation layer over the resultant structure, planarizing the hard mask pattern and the insulation layer until the pillars are exposed, and forming a storage electrode over the exposed pillars.
    Type: Grant
    Filed: December 5, 2007
    Date of Patent: May 22, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventors: Min-Suk Lee, Hong-Gu Yi
  • Patent number: 8183158
    Abstract: A method for using a semiconductor processing apparatus includes supplying an oxidizing gas and a reducing gas into a process container of the processing apparatus accommodating no product target substrate therein; causing the oxidizing gas and the reducing gas to react with each other within a first atmosphere that activates the oxidizing gas and the reducing gas inside the process container, thereby generating radicals; and removing a contaminant from an inner surface of the process container by use of the radicals.
    Type: Grant
    Filed: October 17, 2007
    Date of Patent: May 22, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masahiko Tomita, Kota Umezawa, Ryou Son, Toshiharu Nishimura
  • Patent number: 8183159
    Abstract: Disclosed herein is an imaging method for patterning component shapes (e.g., fins, gate electrodes, etc.) into a substrate. By conducting a trim step prior to performing either an additive or subtractive sidewall image transfer process, the method avoids the formation of a loop pattern in a hard mask and, thus, avoids a post-SIT process trim step requiring alignment of a trim mask to sub-lithographic features to form a hard mask pattern with the discrete segments. In one embodiment a hard mask is trimmed prior to conducting an additive SIT process so that a loop pattern is not formed. In another embodiment an oxide layer and memory layer that are used to form a mandrel are trimmed prior to the conducting a subtractive SIT process. A mask is then used to protect portions of the mandrel during etch back of the oxide layer so that a loop pattern is not formed.
    Type: Grant
    Filed: April 4, 2008
    Date of Patent: May 22, 2012
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, David V. Horak, Charles W. Koburger, III, Qiqing C. Quyang
  • Publication number: 20120122315
    Abstract: A method includes forming patterned lines on a substrate having a predetermined pitch. The method further includes forming spacer sidewalls on sidewalls of the patterned lines. The method further includes forming material in a space between the spacer sidewalls of adjacent patterned lines. The method further includes forming another patterned line from the material by protecting the material in the space between the spacer sidewalls of adjacent patterned lines while removing the spacer sidewalls. The method further includes transferring a pattern of the patterned lines and the another patterned line to the substrate.
    Type: Application
    Filed: November 11, 2010
    Publication date: May 17, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: ROGER A. BOOTH, JR., Kangguo Cheng, Joseph Ervin, Chengwen Pei, Ravi M. Todi, Geng Wang
  • Patent number: 8178442
    Abstract: A method in the fabrication of a semiconductor device simultaneously forms different patterns on the same level of the device. The device has a first area and a second area. A low density mask pattern of at least one relatively wide topographic feature is formed on the second area, a plurality of relatively narrow topographic features is formed on the first area, first spacers are formed on side walls of the narrow topographic features in the first area, the relatively narrow topographic features are removed, and the patterns of the first spacers and the relatively wide topographic feature(s) are simultaneously transcribed in the first and second areas, respectively.
    Type: Grant
    Filed: July 13, 2009
    Date of Patent: May 15, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-yong Park, Jae-kwan Park, Dong-hwa Kwak, Byung-kwan You
  • Patent number: 8173547
    Abstract: A method and apparatus for etching a silicon layer through a patterned mask formed thereon are provided. The silicon layer is placed in an etch chamber. An etch gas comprising a fluorine containing gas and an oxygen and hydrogen containing gas is provided into the etch chamber. A plasma is generated from the etch gas and features are etched into the silicon layer using the plasma. The etch gas is then stopped. The plasma may contain OH radicals.
    Type: Grant
    Filed: October 23, 2008
    Date of Patent: May 8, 2012
    Assignee: Lam Research Corporation
    Inventors: Jaroslaw W. Winniczek, Robert P. Chebi
  • Publication number: 20120108069
    Abstract: Methods for forming a semiconductor device include forming self-aligned trenches, in which a first set of trenches is used to align a second set of trenches. Methods taught herein can be used as a pitch doubling technique, and may therefore enhance device integration. Further, employing a very thin CMP stop layer, and recessing surrounding materials by about an equal amount to the thickness of the CMP stop layer, provides improved planarity at the surface of the device.
    Type: Application
    Filed: January 10, 2012
    Publication date: May 3, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Werner Juengling, Richard Lane
  • Patent number: 8168542
    Abstract: A tubular object is fabricated by a method comprising the steps of providing a first layer, forming a second layer on the first layer, and then patterning the second layer to form a raised feature with one or more sidewalls. Subsequently, the first layer is processed such that components of the first layer deposit on the one or more sidewalls of the raised feature.
    Type: Grant
    Filed: January 3, 2008
    Date of Patent: May 1, 2012
    Assignee: International Business Machines Corporation
    Inventors: Kuan-Neng Chen, John Christopher Arnold, Niranjana Ruiz
  • Publication number: 20120094495
    Abstract: A substrate processing method that forms an opening, which has a size that fills the need for downsizing a semiconductor device and is to be transferred to an amorphous carbon film, in a photoresist film of a substrate to be processed. Deposit is accumulated on a side wall surface of the opening in the photoresist film using plasma produced from a deposition gas having a gas attachment coefficient S of 0.1 to 1.0 so as to reduce the opening width of the opening.
    Type: Application
    Filed: December 20, 2011
    Publication date: April 19, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masanobu HONDA, Hironobu Ichikawa
  • Publication number: 20120094494
    Abstract: A method to further adjust the final CD of a material to be etched during an etching process, and after a photolithographic patterning process can include patterning a semiconductor substrate using a mask layer. The mask layer can comprise a hardmask material having a protruding feature with an initial width. A first plasma comprising carbon and fluorine can be introduced into a chamber, where residual carbon and fluorine is deposited on at least the chamber wall. A portion of the mask layer can then be removed with a second plasma incorporating the residual carbon and fluorine, whereby remaining hardmask material forms a feature pattern where the protruding feature has a final width different from the initial width. The feature pattern can then be transferred to the semiconductor substrate using the final width of the at least one protruding feature provided by the remaining hardmask material.
    Type: Application
    Filed: October 14, 2010
    Publication date: April 19, 2012
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Yu-Chung Chen, Shih-Ping Hong, Ming-Tsung Wu
  • Patent number: 8158522
    Abstract: Methods of forming deep trenches in substrates are described. A method includes providing a substrate with a patterned film disposed thereon, the patterned film including a trench having a first width and a pair of sidewalls, the trench exposing the top surface of the substrate. The method also includes forming a material layer over the patterned film and conformal with the trench. The method also includes etching the material layer to form sidewall spacers along the pair of sidewalls of the trench, the sidewall spacers reducing the first width of the trench to a second width. The method also includes etching the substrate to form a deep trench in the substrate, the deep trench undercutting at least a portion of the sidewall spacers.
    Type: Grant
    Filed: September 10, 2010
    Date of Patent: April 17, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Khalid M. Sirajuddin, Digvijay Raorane, Jon C. Farr, Sharma V. Pamarthy
  • Publication number: 20120088368
    Abstract: A method of selectively removing a patterned hard mask is described. A substrate with a patterned target layer thereon is provided, wherein the patterned target layer includes a first target pattern and at least one second target pattern, and the patterned hard mask includes a first mask pattern on the first target pattern and a second mask pattern on the at least one second target pattern. A first photoresist layer is formed covering the first mask pattern. The sidewall of the at least one second target pattern is covered by a second photoresist layer. The second mask pattern is removed using the first photoresist layer and the second photoresist layer as a mask.
    Type: Application
    Filed: October 8, 2010
    Publication date: April 12, 2012
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Che-Hua Hsu, Shao-Hua Hsu, Zhi-Cheng Lee, Cheng-Guo Chen
  • Patent number: 8143167
    Abstract: Trench isolation structures and methods to form same for use in the manufacture of semiconductor devices are described. The trench isolation structures are formed using several processing schemes that utilize disclosed dry etching processes to form a significant depth ? between an array trench depth and a periphery trench depth. One etching method creates a trench delta depth utilizing a single dry etch step, while two other etching methods create a trench ? depth by utilizing three dry etch steps.
    Type: Grant
    Filed: March 3, 2009
    Date of Patent: March 27, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Xiaolong Fang, Ramakanth Alapati, Tuman E. Allen
  • Patent number: 8143132
    Abstract: In sophisticated semiconductor devices, the threshold voltage adjustment of high-k metal gate electrode structures may be accomplished by a work function metal species provided in an early manufacturing stage. For this purpose, a protective sidewall spacer structure is provided, which is, in combination with a dielectric cap material, also used as an efficient implantation mask during the implantation of extension and halo regions, thereby increasing the ion blocking capability of the complex gate electrode structure substantially without affecting the sensitive gate materials.
    Type: Grant
    Filed: October 6, 2010
    Date of Patent: March 27, 2012
    Assignee: Globalfoundries Inc.
    Inventors: Jan Hoentschel, Sven Beyer, Thilo Scheiper
  • Patent number: 8138092
    Abstract: A method for forming an array area with a surrounding periphery area, wherein a substrate is disposed under an etch layer, which is disposed under a patterned organic mask defining the array area and covers the entire periphery area is provided. The patterned organic mask is trimmed. An inorganic layer is deposited over the patterned organic mask where a thickness of the inorganic layer over the covered periphery area of the organic mask is greater than a thickness of the inorganic layer over the array area of the organic mask. The inorganic layer is etched back to expose the organic mask and form inorganic spacers in the array area, while leaving the organic mask in the periphery area unexposed. The organic mask exposed in the array area is stripped, while leaving the inorganic spacers in place and protecting the organic mask in the periphery area.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: March 20, 2012
    Assignee: Lam Research Corporation
    Inventors: S. M. Reza Sadjadi, Amit Jain
  • Patent number: 8138059
    Abstract: A semiconductor device manufacturing method includes: forming a core pattern on a foundation film, the core pattern containing a material generating acid by light exposure; selectively exposing part of the core pattern except an longitudinal end portion; supplying a mask material onto the foundation film so as to cover the core pattern, the mask material being crosslinkable upon supply acid from the core pattern; etching back the mask material to expose an upper surface of the core pattern and remove a portion of the mask material formed on the end portion of the core pattern, thereby leaving a mask material side wall portion formed on a side wall of the core pattern; and removing the core pattern and processing the foundation film by using the mask material sidewall portion left on the foundation film as a mask.
    Type: Grant
    Filed: September 22, 2009
    Date of Patent: March 20, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kentaro Matsunaga, Hirokazu Kato, Tomoya Oori
  • Patent number: 8138082
    Abstract: A semiconductor device includes an interconnect having electrically conductive portions and a dielectric layer made of a first dielectric material. A trench is formed in the dielectric layer. The exposed portions of the dielectric layer which form the side walls of the trench are removed. A dielectric liner is then deposited on the side walls of the trench, the liner being made of a second dielectric material.
    Type: Grant
    Filed: February 26, 2007
    Date of Patent: March 20, 2012
    Assignees: STMicroelectronics (Crolles 2) SAS, Koninkljike Philips Electronics N.V.
    Inventors: Joaquin Torres, Vincent Arnal, Laurent-Georges Gosset, Wim Besling
  • Patent number: 8123960
    Abstract: Methods for fabricating sublithographic, nanoscale microchannels utilizing an aqueous emulsion of an amphiphilic agent and a water-soluble, hydrogel-forming polymer, and films and devices formed from these methods are provided.
    Type: Grant
    Filed: March 22, 2007
    Date of Patent: February 28, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Dan B. Millward
  • Patent number: 8120137
    Abstract: Among structures, methods, devices, and systems for isolation trenches, a semiconductor device is provided that includes a substrate and an isolation trench structure. One such isolation trench structure includes a first isolation trench portion associated with a surface of the substrate and having a first pair of opposing sidewalls that are each substantially perpendicular to the surface of the substrate. A second isolation trench portion includes a second pair of sidewalls within the substrate that are each angled obliquely with respect to the surface of the substrate, where the second isolation trench portion has a separation between the second pair of sidewalls that decreases as a distance from the first isolation trench portion increases. A third isolation trench portion includes a third pair of sidewalls within the substrate that are each substantially perpendicular to the surface of the substrate.
    Type: Grant
    Filed: May 8, 2008
    Date of Patent: February 21, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Michael A. Smith, Xiaolong Fang
  • Patent number: 8119530
    Abstract: A pattern forming method includes preparing a target object including silicon with an initial pattern formed thereon and having a first line width; performing a plasma oxidation process on the silicon surface inside a process chamber of a plasma processing apparatus and thereby forming a silicon oxide film on a surface of the initial pattern; and removing the silicon oxide film. The pattern forming method is arranged to repeatedly perform formation of the silicon oxide film and removal of the silicon oxide film so as to form an objective pattern having a second line width finer than the first line width on the target object.
    Type: Grant
    Filed: December 20, 2007
    Date of Patent: February 21, 2012
    Assignees: National University Corporation Nagoya University, Tokyp Electron Limited
    Inventors: Masaru Hori, Yoshiro Kabe, Toshihiko Shiozawa, Junichi Kitagawa
  • Patent number: 8117744
    Abstract: A method of forming an isolated electrically conductive contact through a metallic substrate includes creating at least one via through the substrate, where the via includes a first opening in a top surface of the substrate, a second opening in an opposing bottom surface and at least one continuous sidewall extending therebetween. A dielectric sleeve is formed on the at least one sidewall of the via while preserving at least a portion of the through via. An electrically conductive filler is then placed into the via. In the examples disclosed, the filler may be a conductive ink or a conductive epoxy.
    Type: Grant
    Filed: February 14, 2011
    Date of Patent: February 21, 2012
    Assignee: Electro Scientific Industries, Inc.
    Inventors: Michael Nashner, Jeffrey Howerton
  • Patent number: 8105949
    Abstract: A substrate processing method that forms an opening, which has a size that fills the need for downsizing a semiconductor device and is to be transferred to an amorphous carbon film, in a photoresist film of a substrate to be processed. Deposit is accumulated on a side wall surface of the opening in the photoresist film using plasma produced from a deposition gas having a gas attachment coefficient S of 0.1 to 1.0 so as to reduce the opening width of the opening.
    Type: Grant
    Filed: June 29, 2009
    Date of Patent: January 31, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Honda, Hironobu Ichikawa
  • Patent number: 8105951
    Abstract: A method for fabricating a device pattern includes the following steps. A first pattern having a first density is formed in a pre-determined region on a substrate. The first pattern includes a base portion along a first direction and at least two protruding portions along a second direction and connected to the base portion. A spacer is formed on a sidewall of each protruding portion. The spacers do not connect with the base portion, and the spacers between two adjacent protruding portions do not connect with each other, so as to form a gap between the two adjacent protruding portions. Then, a second pattern is formed on the substrate and located in the gap, such that a third pattern having a second density is formed in the pre-determined region by the first pattern and the second pattern.
    Type: Grant
    Filed: November 12, 2008
    Date of Patent: January 31, 2012
    Assignee: Nanya Technology Corporation
    Inventor: Yu-Yao Chang
  • Patent number: 8105950
    Abstract: A method for forming fine patterns in a semiconductor device includes forming a first hard mask layer and a second hard mask layer over an etch target layer, forming second hard mask patterns by etching the second hard mask layer, wherein an etching profile of the second hard mask layer has a positive slope, and etching the first hard mask layer and the etch target layer using the second hard mask patterns as an etch mask.
    Type: Grant
    Filed: December 10, 2007
    Date of Patent: January 31, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung-Yoon Cho, Hye-Ran Kang
  • Publication number: 20120009793
    Abstract: Methods for circuit material processing are provided. In at least one such method, a substrate is provided with a plurality of overlying spacers. The spacers have substantially straight inner sidewalls and curved outer sidewalls. An augmentation material is formed on the plurality of spacers such that the inner or the outer sidewalls of the spacers are selectively expanded. The augmentation material can bridge the upper portions of pairs of neighboring inner sidewalls to limit deposition between the inner sidewalls. The augmentation material is selectively etched to form a pattern of augmented spacers having a desired augmentation of the inner or outer sidewalls. The pattern of augmented spacers can then be transferred to the substrate through a series of selective etches such that features formed in the substrate achieve a desired pitch.
    Type: Application
    Filed: September 21, 2011
    Publication date: January 12, 2012
    Applicant: Micron Technology, Inc.
    Inventor: Hongbin Zhu
  • Patent number: 8093146
    Abstract: A method for fabricating a semiconductor device is disclosed. In an embodiment, the method may include providing a semiconductor substrate; forming gate material layers over the semiconductor substrate; forming a hard mask layer over the gate material layers; patterning the hard mask layer to from a hard mask pattern; forming a spacer layer over the hard mask pattern; etching back the spacer layer to form spacers over sidewalls of the hard mask pattern; etching the gate material layers by using the spacers and the hard mask pattern as an etching mask to form a gate structure; and performing a tilt-angle ion implantation process to the semiconductor substrate.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: January 10, 2012
    Inventor: Shiang-Bau Wang
  • Publication number: 20110318931
    Abstract: Methods of forming integrated circuit devices utilize fine width patterning techniques to define conductive or insulating patterns having relatively narrow and relative wide lateral dimensions. A target material layer is formed on a substrate and first and second mask layers of different material are formed in sequence on the target material layer. The second mask layer is selectively etched to define a first pattern therein. Sidewall spacers are formed on opposing sidewalls of the first pattern. The first pattern and sidewall spacers are used collectively as an etching mask during a step to selectively etch the first mask layer to define a second pattern therein. The first pattern is removed to define an opening between the sidewall spacers. The first mask layer is selectively re-etched to convert the second pattern into at least a third pattern, using the sidewall spacers as an etching mask. The target material layer is selectively etched using the third pattern as an etching mask.
    Type: Application
    Filed: June 28, 2011
    Publication date: December 29, 2011
    Inventors: Jae-ho Min, Seong-soo Lee, Ki-jeong Kim
  • Publication number: 20110312184
    Abstract: A method for forming a pattern of a semiconductor device is disclosed. The method for forming the semiconductor device pattern can simplify a fabrication process using Spacer Patterning Technology (SPT), and at the same time can form a microscopic contact hole.
    Type: Application
    Filed: December 28, 2010
    Publication date: December 22, 2011
    Applicant: Hynix Semiconductor Inc.
    Inventors: Byoung Hoon LEE, Jong Sik Bang
  • Publication number: 20110312172
    Abstract: In a method forming patterns, a layer on a substrate is patterned by a first etching process using an etch mask to form a plurality of first preliminary patterns and a plurality of second preliminary patterns. The second preliminary patterns are spaced apart from each other at a second distance larger than a first distance at which the first preliminary patterns are spaced apart. First and second coating layers are formed on sidewalls of the first and second preliminary patterns, respectively, and the first and second coating layers and portions of the first and second preliminary patterns are removed by a second etching process using the etch mask to form a plurality of first patterns and a plurality of second patterns. The first patterns have widths that are smaller than widths of the first preliminary patterns. The first patterns may have generally vertical sidewalls relative to the substrate.
    Type: Application
    Filed: June 20, 2011
    Publication date: December 22, 2011
    Inventors: Min-Joon Park, Seok-Hyun Lim
  • Publication number: 20110300711
    Abstract: A method of patterning a substrate comprises providing an array of resist features defined by a first pitch and a first gap width between adjacent resist features. Particles are introduced into the array of resist features, wherein the array of resist features becomes hardened. The introduction of particles may cause a reduction in critical dimension of the resist features. Sidewalls are provided on side portions of hardened resist features. Subsequent to the formation of the sidewalls, the hardened resist features are removed, leaving an array of isolated sidewalls disposed on the substrate. The sidewall array provides a mask for double patterning of features in the substrate layers disposed below the sidewalls, wherein an array of features formed in the substrate has a second pitch equal to half that of the first pitch.
    Type: Application
    Filed: August 19, 2010
    Publication date: December 8, 2011
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Patrick M. Martin, Steven Carlson, Choong-Young Oh, Jung-Wook Park
  • Patent number: 8071484
    Abstract: There are provided a method of forming a fine pattern employing self-aligned double patterning. The method includes providing a substrate. First mask patterns are formed on the substrate. A reactive layer is formed on the substrate having the first mask patterns. The reactive layer adjacent to the first mask patterns is reacted using a chemical attachment process, thereby forming sacrificial layers along outer walls of the first mask patterns. The reactive layer that is not reacted is removed to expose the sacrificial layers. Second mask patterns are formed between the sacrificial layers adjacent to sidewalls of the first mask patterns facing each other. The sacrificial layers are removed to expose the first and second mask patterns and the substrate exposed between the first and second mask patterns. The substrate is etched using the first and second mask patterns as an etching mask.
    Type: Grant
    Filed: June 3, 2008
    Date of Patent: December 6, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyoung-Mi Kim, Jae-Ho Kim, Young-Ho Kim, Myung-Sun Kim, Youn-Kyung Wang, Mi-Ra Park
  • Publication number: 20110294294
    Abstract: Various pattern transfer and etching steps can be used to create features. Conventional photolithography steps can be used in combination with pitch-reduction techniques to form superimposed, pitch-reduced patterns of crossing elongate features that can be consolidated into a single layer. Planarizing techniques using a filler layer and a protective layer are disclosed. Portions of an integrated circuit having different heights can be etched to a common plane.
    Type: Application
    Filed: August 11, 2011
    Publication date: December 1, 2011
    Applicant: Micron Technology, Inc.
    Inventors: Mirzafer Abatchev, David Wells, Baosuo Zhou, Krupakar M. Subramanian
  • Patent number: 8064071
    Abstract: A sheet measurement apparatus has a sheet disposed in a melt. The measurement system uses a beam to determine a dimension of the sheet. This dimension may be, for example, height or width. The beam may be, for example, collimated light, a laser, x-rays, or gamma rays. The production of the sheet may be altered based on the measurements.
    Type: Grant
    Filed: March 12, 2009
    Date of Patent: November 22, 2011
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Christopher A. Rowland, Peter L. Kellerman, Frank Sinclair, Julian G. Blake, Nicholas P. T. Bateman
  • Patent number: 8062980
    Abstract: A method of fabricating a semiconductor device according to one embodiment includes: forming a core material on a workpiece; forming a coating film comprising an amorphous material so as to cover an upper surface and side faces of the core material; crystallizing the coating film by applying heat treatment; forming a sidewall mask by removing the crystallized coating film while leaving a portion thereof located on the side faces of the core material; removing the core material after forming the sidewall mask; and etching the workpiece using the sidewall mask as a mask after removing the core material.
    Type: Grant
    Filed: March 26, 2009
    Date of Patent: November 22, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Daigo Ichinose, Tadashi Iguchi
  • Patent number: 8057692
    Abstract: In a method of forming a semiconductor device, a feature layer is provided on a substrate and a mask layer is provided on the feature layer. A portion of the mask layer is removed in a first region of the semiconductor device where fine features of the feature layer are to be located, the mask layer remaining in a second region of the semiconductor device where broad features of the feature layer are to be located. A mold mask pattern is provided on the feature layer in the first region and on the mask layer in the second region. A spacer layer is provided on the mold mask pattern in the first region and in the second region. An etching process is performed to etch the spacer layer so that spacers remain at sidewalls of pattern features of the mold mask pattern, and to etch the mask layer in the second region to provide mask layer patterns in the second region.
    Type: Grant
    Filed: October 30, 2008
    Date of Patent: November 15, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Yong Park, Jae-Hwang Sim, Young-Ho Lee, Kyung-Lyul Moon, Jae-Kwan Park
  • Publication number: 20110241085
    Abstract: A semiconducting device with a dual sidewall spacer and method of forming are provided. The method includes: depositing a first spacer layer over a patterned structure, the first spacer layer having a seam propagating through a thickness of the first spacer layer near an interface region of a surface of the substrate and a sidewall of the patterned structure, etching the first spacer layer to form a residual spacer at the interface region, where the residual spacer coats less than the entirety of the sidewall of the patterned structure, depositing a second spacer layer on the residual spacer and on the sidewall of the patterned structure not coated by the residual spacer, the second spacer layer being seam-free on the seam of the residual spacer, and etching the second spacer layer to form a second spacer coating the residual spacer and coating the sidewall of the patterned structure not coated by the residual spacer.
    Type: Application
    Filed: March 31, 2010
    Publication date: October 6, 2011
    Applicants: TOKYO ELECTRON LIMITED, INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: David L. O'Meara, Anthony Dip, Aelan Mosden, Pao-Hwa Chou, Richard A. Conti
  • Patent number: 8030154
    Abstract: In one embodiment, a method of forming a semiconductor device is provided that includes providing a gate structure on a semiconductor substrate. Sidewall spacers may be formed adjacent to the gate structure. A metal semiconductor alloy may be formed on the upper surface of the gate structure and on an exposed surface of the semiconductor substrate that is adjacent to the gate structure. An upper surface of the metal semiconductor alloy is converted to an oxygen-containing protective layer. The sidewall spacers are removed using an etch that is selective to the oxygen-containing protective layer. A strain-inducing layer is formed over the gate structure and the semiconductor surface, in which at least a portion of the strain-inducing layer is in direct contact with the sidewall surface of the gate structure. In another embodiment, the oxygen-containing protective layer of the metal semiconductor alloy is provided by a two stage annealing process.
    Type: Grant
    Filed: August 3, 2010
    Date of Patent: October 4, 2011
    Assignees: International Business Machines Corporation, GLOBALFOUNDRIES, Inc.
    Inventors: Ahmet S. Ozcan, Christian Lavoie, Zhen Zhang, Bin Yang