Plural Coating Steps Patents (Class 438/702)
  • Publication number: 20090302298
    Abstract: A phase change memory may be formed with a sublithographic heater by using a mask with a sidewall spacer to etch an opening in a dielectric layer. The opening then has a sublithographic lateral extent. The resulting via may be filled with a heater material to form a sublithographic heater.
    Type: Application
    Filed: June 5, 2008
    Publication date: December 10, 2009
    Inventors: Jong-Won S. Lee, Gianpaolo Spadini
  • Patent number: 7622191
    Abstract: A method is presented describing in situ preparation of the titania-based sol-gel PDMS coating and its immobilization on the inner surface of a fused silica microextraction capillary. Sol-gel titania-poly (dimethylsiloxane) (TiO2-PDMS) coating was developed for capillary microextraction (CME) to perform on-line preconcentration and HPLC analysis of trace impurities in aqueous samples. The sol-gel titania-based coatings demonstrated strong pH stability and enhanced extraction capability over other commercially availble GC coatings. Extraction characteristics of a sol-gel titania-PDMS capillary remained practically unchanged after continuous rinsing with a 0.1 M NaOH solution (pH=13) for 12 hours.
    Type: Grant
    Filed: July 19, 2005
    Date of Patent: November 24, 2009
    Assignee: University of South Florida
    Inventors: Abdul Malik, Tae-Young Kim
  • Patent number: 7622395
    Abstract: A two-step method for etching a fuse window on a semiconductor substrate is provided. A semiconductor substrate having thereon a fuse interconnect-wire is formed in a dielectric film stack. The dielectric film stack includes a target dielectric layer overlying said fuse interconnect-wire, an intermediate dielectric layer and a passivation layer. A photoresist layer is formed on the passivation layer with an opening that defines said fuse window. A first dry etching process is performed to non-selectively etch the passivation layer and the intermediate dielectric layer through the opening thereby exposing the target dielectric layer. The thickness of the target dielectric layer after the first dry etching process is then measured. An APC-controlled second dry etching process is performed to etch a portion of the exposed target dielectric layer, thereby reliably forming the fuse window.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: November 24, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Shi-Jie Bai, Hong Ma
  • Patent number: 7622391
    Abstract: A method of forming a semiconductor structure comprises providing a semiconductor structure comprising a layer of a dielectric material provided over an electrically conductive feature. An opening is formed in the layer of dielectric material. The opening is located over the electrically conductive feature and has a first lateral dimension. A cavity is formed in the electrically conductive feature. The cavity has a second lateral dimension being greater than the first lateral dimension. The cavity and the opening are filled with an electrically conductive material.
    Type: Grant
    Filed: February 23, 2007
    Date of Patent: November 24, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kai Frohberg, Thomas Werner, Ruo Qing Su
  • Patent number: 7615480
    Abstract: Presented are methods of fabricating three-dimensional integrated circuits that include post-contact back end of line through-hole via integration for the three-dimensional integrated circuits. In one embodiment, the method comprises forming metal plug contacts through a hard mask and a premetal dielectric to transistors in the semiconductor. The method also includes etching a hole for a through-hole via through the hard mask to the semiconductor using a patterned photoresist process, removing the patterned photoresist and using a hard mask process to etch the hole to an amount into the semiconductor. The method further includes depositing a dielectric liner to isolate the hole from the semiconductor, depositing a gapfill metal to fill the hole, and planarizing the surface of the substrate to the hard mask. Another aspect of the present invention includes three-dimensional integrated circuits fabricated according to methods of the present invention.
    Type: Grant
    Filed: June 20, 2007
    Date of Patent: November 10, 2009
    Assignee: Lam Research Corporation
    Inventors: John Boyd, Fritz Redeker, Yezdi Dordi, Hyungsuk Alexander Yoon, Shijian Li
  • Publication number: 20090273102
    Abstract: A semiconductor substrate is provided in which an alignment mark is formed that can be used for an aligment even after the formation of an impurity diffused layer by the planarization of an epitaxial film. A trench 11 is formed in an alignment region of an N+-type substrate 1. This trench 11 is used to leave voids 3 after the formation of an N?-type layer 2. Then, the voids 3 formed in the N+-type substrate 1 can be used as an alignment mark. Thus, such a semiconductor substrate can be used to provide an alignment in the subsequent step of manufacturing the semiconductor apparatus. Thus, the respective components constituting the semiconductor apparatus can be formed at desired positions accurately.
    Type: Application
    Filed: October 5, 2006
    Publication date: November 5, 2009
    Inventors: Syouji Nogami, Tomonori Yamaoka, Shoichi Yamauchi, Nobuhiro Tsuji, Toshiyuki Morishita
  • Patent number: 7611980
    Abstract: Single spacer processes for multiplying pitch by a factor greater than two are provided. In one embodiment, n, where n?2, tiers of stacked mandrels are formed over a substrate, each of the n tiers comprising a plurality of mandrels substantially parallel to one another. Mandrels at tier n are over and parallel to mandrels at tier n?1, and the distance between adjoining mandrels at tier n is greater than the distance between adjoining mandrels at tier n?1. Spacers are simultaneously formed on sidewalls of the mandrels. Exposed portions of the mandrels are etched away and a pattern of lines defined by the spacers is transferred to the substrate.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: November 3, 2009
    Assignee: Micron Technology, Inc.
    Inventors: David H. Wells, Mirzafer K. Abatchev
  • Patent number: 7605086
    Abstract: A corrosion resistant component of a plasma chamber includes a liquid crystalline polymer. In a preferred embodiment, the liquid crystalline polymer (LCP) is provided on an aluminum component having an anodized or non-anodized surface. The liquid crystalline polymer can also be provided on an alumina component. The liquid crystalline polymer can be deposited by a method such as plasma spraying. The liquid crystalline polymer may also be provided as a preformed sheet or other shape adapted to cover the exposed surfaces of the reaction chamber. Additionally, the reactor components may be made entirely from liquid crystalline polymer by machining the component from a solid block of liquid crystalline polymer or molding the component from the polymer. The liquid crystalline polymer may contain reinforcing fillers such as glass or mineral fillers.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: October 20, 2009
    Assignee: Lam Research Corporation
    Inventors: Robert J. O'Donnell, Christopher C. Chang, John E. Daugherty
  • Patent number: 7601586
    Abstract: A method of forming buried bit line DRAM circuitry includes collectively forming a buried bit line forming trench, bit line vias extending from the bit line forming trench, and memory array storage node vias within a dielectric mass using only two masking steps. Conductive material is simultaneously deposited to within the buried bit line forming trench, the bit line vias, and the memory storage node vias within the dielectric mass. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: December 12, 2006
    Date of Patent: October 13, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Ann K. Liao, Michael J. Westphal
  • Publication number: 20090218556
    Abstract: An integrated circuit includes a first electrode, a second electrode, and dielectric material including an opening. The opening is defined by etching the dielectric material based on an oxidized polysilicon mask formed using a keyhole process. The integrated circuit includes resistivity changing material deposited in the opening and coupled between the first electrode and the second electrode.
    Type: Application
    Filed: February 28, 2008
    Publication date: September 3, 2009
    Inventor: Shoaib Zaidi
  • Patent number: 7582560
    Abstract: A method for fabricating a semiconductor device includes preparing a substrate comprising a first surface and a second surface formed at a lower position than the first surface, forming an insulation layer over the substrate, etching the insulation layer to form a first contact hole exposing the first surface and a second contact hole having a larger depth than the first contact hole above the second surface, forming a first sacrificial layer over the insulation layer, the first contact hole, and the second contact hole, forming a second sacrificial layer over the substrate structure and filled in the first contact hole, exposing the first sacrificial layer at a bottom surface of the second contact hole while having the second sacrificial layer remain in the first contact hole, etching the first sacrificial layer, and etching the remaining insulation layer to expose the second surface.
    Type: Grant
    Filed: March 12, 2007
    Date of Patent: September 1, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Ky-Hyun Han, Ki-Won Nam
  • Patent number: 7579255
    Abstract: The present invention relates to a semiconductor device and a method for isolating the same. The semiconductor device includes: a silicon substrate provided with a trench including at least one silicon pillar at a bottom portion of the trench, wherein the silicon pillar become sidewalls of micro trenches; and a device isolation layer selectively and partially filled into the plurality of micro trenches.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: August 25, 2009
    Assignee: Hynix Semiconductor, Inc.
    Inventor: Seung-Ho Pyi
  • Patent number: 7576010
    Abstract: A method of forming a first hard mask pattern including a plurality of first line patterns formed on the etch target layer in a first direction and having a first pitch. A third layer is formed on sidewalls and an upper surface of the first hard mask pattern, such that the third layer includes a top surface having a recess formed between two adjacent first line patterns. A second hard mask pattern including a plurality of second line patterns each extending in the first direction within the recess is formed. Then, the third layer is anisotropically etched to selectively expose an etch target layer between the first line patterns and the second line patterns. Then, the etch target layer is anisotropically etched using the first hard mask pattern and the second hard mask pattern as an etch mask.
    Type: Grant
    Filed: January 30, 2007
    Date of Patent: August 18, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-young Lee, Hak-sun Lee, Myeong-cheol Kim, Kyung-yub Jeon
  • Patent number: 7576008
    Abstract: Disclosed is a method for manufacturing an optoelectronic semiconductor device having a p-n junction diode, which includes the steps of: (a) etching at least one surface of the p-n junction diode in a depth direction to form a plurality of continuous, isolated or mixed type electrode pattern grooves with a certain array; and (b) filling the formed grooves with a conductive ink containing a transparent conducting particle through an inkjet and then performing heat treatment to form a buried transparent electrode, the optoelectronic semiconductor device, and an apparatus for manufacturing the optoelectronic semiconductor device. In the present invention, covering loss is significantly reduced due to a buried transparent electrode so that the high efficiency of photoelectric conversion can be implemented, and there can be provided the easiness of a manufacturing process and the enhancement of productivity through the unification of etching and electrode forming processes.
    Type: Grant
    Filed: September 26, 2006
    Date of Patent: August 18, 2009
    Assignee: LG Chem Ltd.
    Inventors: Tae Su Kim, Bu Gon Shin, Jae Sung You, Hyun Woo Shin
  • Patent number: 7572729
    Abstract: A method of manufacturing semiconductor devices, including the steps of forming an insulating layer on a semiconductor substrate in which predetermined structures are formed, and etching the insulating layer to expose a predetermined region of the semiconductor substrate, thereby forming a contact hole, forming an insulating layer on the sides of the contact hole, and forming a conductive layer within the contact hole, forming a contact plug. It is possible to prevent a short problem by sufficiently securing a distance between a drain contact plug and a virtual power line.
    Type: Grant
    Filed: December 8, 2006
    Date of Patent: August 11, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Il Young Kwon
  • Patent number: 7572734
    Abstract: The etch depth during trench over via etch of a dual damascene structure in a dielectric film stack is controlled to be the same over the dense area and the open area of a substrate and solve micro-loading problems. The trench etch process is adapted to include a forward micro-loading etching process and a reverse micro-loading etching process using two etch chemistries together with the inclusion of a dopant material layer or an organic fill material layer during the deposition of the dielectric film stack. In one embodiment, etching of trenches over vias is switched from forward micro-loading to reverse micro-loading once etching of the dielectric film stack is reached at a predetermined location of a dopant material layer. In another embodiment, etching of an organic trench filling material layer is performed in a reverse micro-loading process followed by etching the dielectric film stack in a forward micro-loading process.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: August 11, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Mehul Naik, Suketu A. Parikh, Michael D. Armacost
  • Patent number: 7569486
    Abstract: A system and method of preventing pattern lifting during a trench etch/clean process is disclosed. A first layer comprising a first dip is formed over a first via pattern. A trench resist layer is formed. The trench resist layer is patterned with a trench reticle to produce a second via pattern in the trench resist layer over the first via pattern. A photo resist over the first via pattern is opened during a trench processing. Thus, an additional pattern added on a trench pattern reticle is used to open, i.e., remove resist over, a huge via feature area causing under layer dip.
    Type: Grant
    Filed: May 9, 2007
    Date of Patent: August 4, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Yong Seok Choi, Jeannette Michelle Jacques
  • Publication number: 20090189258
    Abstract: A method for fabricating an integrated circuit including forming a first trench in a rear side of a semiconductor wafer, wherein the first trench has a depth extending partially through a thickness of the semiconductor wafer, coating the rear side with a layer of coating material, including filling the first trench with the coating material, and forming a second trench in a front side of the semiconductor wafer, wherein the second trench is aligned with and has a width less than a width of the first trench, and wherein the second trench has a depth extending at least through a remaining portion of the semiconductor wafer so as to be in communication with the coating material filling the first trench.
    Type: Application
    Filed: January 29, 2008
    Publication date: July 30, 2009
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Franco Mariani, Werner Kroeninger
  • Publication number: 20090186486
    Abstract: A method of forming damascene patterns of semiconductor devices comprise forming a first insulating layer and contact plugs, formed in the first insulating layer, over a semiconductor substrate, forming an etch barrier layer and a second insulating layer over the first insulating layer, forming damascene patterns in the second insulating layer, forming a mask layer over the second insulating layer of other region except a region in which the contact plugs are formed so that the damascene patterns are exposed through the region in which the contact plugs are formed, removing the etch barrier layer under the exposed damascene patterns using an etching process employing the mask layer, and removing the mask layer.
    Type: Application
    Filed: December 26, 2008
    Publication date: July 23, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Chan Sun HYUN
  • Publication number: 20090186485
    Abstract: A method to form sub-lithographic trench structures in a substrate and an integrated circuit comprising sub-lithographic trench structures in a substrate. The method includes forming sets of trenches with a lithographic mask and filling the sets of trenches with sets of step spacer blocks comprising two alternating spacer materials which are separately removable from each other. In one embodiment, the trench structures formed are one-nth the thickness of the lithographic mask's feature size. The size of the trench structures being dependent on the thickness and number of spacer material layers used to form the set of step spacer blocks. The number of spacer material layers being n/2 and the thickness of each spacer material layer being one-nth of the lithographic mask's feature size.
    Type: Application
    Filed: January 23, 2008
    Publication date: July 23, 2009
    Inventors: Chung H. Lam, Hemantha K. Wickramasinghe
  • Publication number: 20090179329
    Abstract: The present invention relates to semiconductor devices and a method of fabricating the same. According to a method of manufacturing semiconductor devices, there is first provided a semiconductor substrate in which a first pre-metal dielectric layer including trenches is formed. A diffusion barrier layer is formed on the entire surface including the trenches. A metal layer is formed on the diffusion barrier layer including the trenches, thereby gap-filling the trenches. A polish etching process is performed on the metal layer and the diffusion barrier layer so that the diffusion barrier layer and the metal layer remain within the trenches. An etching process of lowering a height of the metal layer is performed in order to increase a distance between metal lines. A capping layer is formed on the entire surface including exposed sidewalls of the first pre-metal dielectric layer. A second pre-metal dielectric layer is formed over the capping layer.
    Type: Application
    Filed: December 29, 2008
    Publication date: July 16, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Cheol Mo Jeong, Eun Soo Kim, Seung Hee Hong
  • Patent number: 7560387
    Abstract: Methods for opening a hard mask and a silicon-on-insulator substrate in a single process chamber are disclosed. In one embodiment, the method includes patterning a photoresist over a stack including an anti-reflective coating (ARC) layer, a silicon dioxide (SiO2) based hard mask layer, a silicon nitride pad layer, a silicon dioxide (SiO2) pad layer and the SOI substrate, wherein the SOI substrate includes a silicon-on-insulator layer and a buried silicon dioxide (SiO2) layer; and in a single process chamber: opening the ARC layer; etching the silicon dioxide (SiO2) based hard mask layer; etching the silicon nitride pad layer; etching the silicon dioxide (SiO2) pad layer; and etching the SOI substrate. Etching all layers in a single chamber reduces the turn-around-time, lowers the process cost, facilitates process control and/or improve a trench profile.
    Type: Grant
    Filed: January 25, 2006
    Date of Patent: July 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Scott D. Allen, Kangguo Cheng, Xi Li, Kevin R. Winstel
  • Patent number: 7560391
    Abstract: A method for forming, in a semiconductor substrate, wells and/or trenches having different destinations, including the steps of at least partly simultaneously etching cavities according to the pattern of the trenches and/or wells; closing the openings of the cavities with at least one first non-conformal thick layer, and selectively opening the first thick layer according to the subsequent processings.
    Type: Grant
    Filed: December 23, 2005
    Date of Patent: July 14, 2009
    Assignee: STMicroelectronics S.A.
    Inventor: Christine Anceau
  • Patent number: 7560388
    Abstract: A method providing features in a dielectric layer is provided. A sacrificial layer is formed over the dielectric layer. A set of sacrificial layer features is etched into the sacrificial layer. A first set of dielectric layer features is etched into the dielectric layer through the sacrificial layer. The first set of dielectric layer features and the set of sacrificial layer features are filled with a filler material. The sacrificial layer is removed. The widths of the spaces between the parts of the filler material are shrunk with a shrink sidewall deposition. A second set of dielectric layer features is etched into the dielectric layer through the shrink sidewall deposition. The filler material and shrink sidewall deposition are removed.
    Type: Grant
    Filed: November 30, 2005
    Date of Patent: July 14, 2009
    Assignee: Lam Research Corporation
    Inventors: Jisoo Kim, Sangheon Lee, Daehan Choi, S. M. Reza Sadjadi
  • Publication number: 20090166813
    Abstract: A method for manufacturing a semiconductor device includes forming a first semiconductor layer on a semiconductor substrate, forming a second semiconductor layer on the first semiconductor layer, etching the second semiconductor layer and the first semiconductor layer to form a first groove passing through the second semiconductor layer and the first semiconductor layer, forming a first support having tensile stress in the first groove, etching the second semiconductor layer to form a second groove that exposes the first semiconductor layer, forming a cavity between the second semiconductor layer and the semiconductor substrate by etching the first semiconductor layer through the second groove, forming an insulating film in the cavity, and forming a buried film having tensile stress in the second groove.
    Type: Application
    Filed: December 23, 2008
    Publication date: July 2, 2009
    Applicant: SEIKO EPSON CORPORATION
    Inventor: Yusuke MATSUZAWA
  • Publication number: 20090166775
    Abstract: Embodiments relate to an image sensor and a method for manufacturing an image sensor. According to embodiments, a method may include forming a semiconductor substrate including a pixel part and a peripheral part, forming an interlayer dielectric film including a metal wire on and/or over the semiconductor substrate, forming photo diode patterns on and/or over the interlayer dielectric film and connected to the metal wire in the pixel part, forming a device isolation dielectric layer on and/or over the interlayer dielectric film including the photo diode patterns, forming a first via hole on and/or over the device isolation dielectric layer to partially expose the photo diode patterns, and forming a second via hole on and/or over the device isolation dielectric layer to expose the metal wire in the peripheral part. According to embodiments, vertical integration of transistor circuitry and a photo diode may be achieved.
    Type: Application
    Filed: December 26, 2008
    Publication date: July 2, 2009
    Inventor: Joon-Ku Yoon
  • Patent number: 7553760
    Abstract: A method to form interconnect structures including nano-scale, e.g., sub-lithographic, lines and vias for future generation of semiconductor technology using self-assembly block copolymers that can be placed at a specific location using a pre-fabricated hard mask pattern is provided. The inventive method provides an interconnect structure in which the line is self-aligned to the via.
    Type: Grant
    Filed: October 19, 2006
    Date of Patent: June 30, 2009
    Assignee: International Business Machines Corporation
    Inventors: Haining Yang, Wai-Kin Li
  • Publication number: 20090152645
    Abstract: Different portions of a continuous loop of semiconductor material are electrically isolated from one another. In some embodiments, the end of the loop is electrically isolated from mid-portions of the loop. In some embodiments, loops of semiconductor material, having two legs connected together at their ends, are formed by a pitch multiplication process in which loops of spacers are formed on sidewalls of mandrels. The mandrels are removed and a block of masking material is overlaid on at least one end of the spacer loops. In some embodiments, the blocks of masking material overlay each end of the spacer loops. The pattern defined by the spacers and the blocks are transferred to a layer of semiconductor material. The blocks electrically connect together all the loops. A select gate is formed along each leg of the loops. The blocks serve as sources/drains.
    Type: Application
    Filed: December 18, 2007
    Publication date: June 18, 2009
    Applicant: Micron Technology, Inc.
    Inventor: Luan C. Tran
  • Publication number: 20090156009
    Abstract: Provided is a method of manufacturing a semiconductor device capable of providing a stable trench depth, including: forming, on a semiconductor substrate, a first film having a high etching selectivity with respect to the semiconductor substrate; forming, on the first film, a second film having a high etching selectivity with respect to the first film; etching a region of a part of the second film and the first film to expose a surface of the semiconductor substrate in the region; and etching the exposed surface of the semiconductor substrate to form a trench.
    Type: Application
    Filed: December 4, 2008
    Publication date: June 18, 2009
    Inventors: Tomomitsu Risaki, Jun Osanai
  • Publication number: 20090152110
    Abstract: A chip for a cell electrophysiological sensor has a substrate. The substrate has a through-hole formed from the upside to the downside, and the opening of the through-hole is formed in a curved surface curved from the upside and downside of the substrate toward the inner side of the through-hole. In this configuration, the electrolyte solution (first electrolyte solution and second electrolyte solution) flows more smoothly, and the sample cell can be sucked accurately, and the trapping rate of the sample cells is improved.
    Type: Application
    Filed: May 21, 2007
    Publication date: June 18, 2009
    Applicant: PANASONIC CORPORATION
    Inventors: Soichiro Hiraoka, Masaya Nakatani, Hiroshi Ushio, Akiyoshi Oshima
  • Patent number: 7544623
    Abstract: A method for fabricating a contact hole is provided. A semiconductor substrate having thereon a conductive region is prepared. A dielectric layer is deposited on the semiconductor substrate and the conductive region. An etching resistive layer is coated on the dielectric layer. A silicon-containing hard mask bottom anti-reflection coating (SHB) layer is then coated on the etching resistive layer. A photoresist layer is then coated on the SHB layer. A lithographic process is performed to form a first opening in the photoresist layer. Using the photoresist layer as a hard mask, the SHB layer is etched through the first opening, thereby forming a shrunk, tapered second opening in the SHB layer. Using the etching resistive layer as an etching hard mask, etching the dielectric layer through the second opening to form a contact hole in the dielectric layer.
    Type: Grant
    Filed: September 11, 2006
    Date of Patent: June 9, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Pei-Yu Chou, Wen-Chou Tsai, Jiunn-Hsiung Liao
  • Publication number: 20090142921
    Abstract: In a first preferred embodiment of the present invention, conductive features are formed on a first dielectric etch stop layer, and a second dielectric material is deposited over and between the conductive features. A via etch to the conductive features which is selective between the first and second dielectrics will stop on the dielectric etch stop layer, limiting overetch. In a second embodiment, a plurality of conductive features is formed in a subtractive pattern and etch process, filled with a dielectric fill, and then a surface formed coexposing the conductive features and dielectric fill. A dielectric etch stop layer is deposited on the surface, then a third dielectric covers the dielectric etch stop layer. When a contact is etched through the third dielectric, this selective etch stops on the dielectric etch stop layer. A second etch makes contact to the conductive features.
    Type: Application
    Filed: January 30, 2009
    Publication date: June 4, 2009
    Applicant: SanDisk 3D LLC
    Inventor: Christopher J. Petti
  • Patent number: 7541291
    Abstract: A feature in a layer is provided. A photoresist layer is formed over the layer. The photoresist layer is patterned to form photoresist features with photoresist sidewalls, where the photoresist features have a first critical dimension. A conformal layer is deposited over the sidewalls of the photoresist features to reduce the critical dimensions of the photoresist features. Features are etched into the layer, wherein the layer features have a second critical dimension, which is less than the first critical dimension.
    Type: Grant
    Filed: June 22, 2007
    Date of Patent: June 2, 2009
    Assignee: Lam Research Corporation
    Inventors: Sean S. Kang, Sangheon Lee, Wan-Lin Chen, Eric A. Hudson, S. M. Reza Sadjadi, Gan Ming Zhao
  • Patent number: 7538037
    Abstract: A method for manufacturing a semiconductor device includes forming a predetermined structure including a first inorganic insulating film covering a copper interconnection, an organic insulating film formed above the first inorganic insulating film and having a hole pattern, and a second inorganic insulating film formed above the organic insulating film and having a trench pattern, dry etching the first inorganic insulating film by an etching gas containing a fluorocarbon family gas, using the organic insulating film having the hole pattern as a mask, to form a through-hole reaching the copper interconnection, and performing a plasma treatment using a mixed gas of an oxygen gas and a hydrocarbon gas, thereby removing fluorine remaining on a surface of the copper interconnection exposed by the through-hole, and thereby dry etching the organic insulating film using the second inorganic insulating film having the trench pattern as a mask.
    Type: Grant
    Filed: August 17, 2007
    Date of Patent: May 26, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Akihiro Takase
  • Patent number: 7538009
    Abstract: A method for fabricating an STI gap fill oxide layer in a semiconductor device is provided. The method can include: forming a shallow trench for forming an STI on a semiconductor substrate; forming an STI liner oxide layer in the shallow trench for the STI; depositing an APCVD oxide layer at an upper portion of the STI liner oxide layer for an oxide layer gap fill in the shallow trench of the STI; d) performing a densifying annealing process to densify the APCVD oxide layer; and depositing an HDP-CVD oxide layer at an upper portion of the APCVD oxide layer so that the STI shallow trench is completely gap-filled.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: May 26, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sung Rae Kim
  • Publication number: 20090130853
    Abstract: The invention provides a method for forming a deep trench in a substrate. A sacrificial layer and a liner layer are first used to define the deep trench pattern. The sacrificial layer is then replaced with a silicon glass layer. A thick mask layer includes the silicon glass layer, the liner layer and a silicon nitride layer is formed on the substrate. Through an opening of the thick mask layer, a deep trench is etched into the substrate.
    Type: Application
    Filed: February 22, 2008
    Publication date: May 21, 2009
    Inventors: Chung-Yen Chou, Hai-Han Hung, Teng-Wang Huang, Shin-Yu Nieh
  • Publication number: 20090124085
    Abstract: The present invention discloses a method for forming a semiconductor device. The method includes providing a substrate; forming at least one first opening in the substrate to a predetermined depth and exposing a sidewall of the substrate in the first opening; forming a spacer on the sidewall and exposing a portion of the substrate in the bottom of the first opening; etching the exposed substrate in the bottom of the first opening by using the spacer as a mask to form a second opening; forming an isolation layer in the second opening and a portion of the first opening; forming a gate dielectric layer on the surface of the substrate; and forming a conductive layer covering the substrate.
    Type: Application
    Filed: January 24, 2008
    Publication date: May 14, 2009
    Applicant: NANYA TECHNOLOGY CORP.
    Inventors: Hung-Ming TSAI, Ying Cheng CHUANG
  • Patent number: 7531450
    Abstract: Provided is a method of fabricating a semiconductor device having a contact hole with a high aspect-ratio. The method includes: sequentially forming a lower pattern and an upper layer on a semiconductor substrate; sequentially forming a lower mask layer and an upper mask layer on the upper layer; sequentially patterning the lower and upper mask layers to form a hole exposing a top surface of the upper layer on the lower pattern; using the upper mask layer as an etching mask to anisotropically etch the exposed top surface to form an upper contact hole exposing a top surface of the lower pattern; and using the lower mask layer as an etching mask to anisotropically etch the exposed lower pattern to form a lower contact hole in the lower pattern, the lower contact hole extending from the upper contact hole.
    Type: Grant
    Filed: June 7, 2007
    Date of Patent: May 12, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yun-Seung Kang, Jun Seo, Min-Chul Chae, Jae-Seung Hwang, Sung-Un Kwon, Woo-Jin Cho
  • Publication number: 20090115064
    Abstract: Methods are disclosed, such as those involving increasing the density of isolated features in an integrated circuit. Also disclosed are structures associated with the methods. In one or more embodiments, contacts are formed on pitch with other structures, such as conductive interconnects. The interconnects may be formed by pitch multiplication. To form the contacts, in some embodiments, a pattern corresponding to some of the contacts is formed in a selectively definable material such as photoresist. The features in the selectively definable material are trimmed to desired dimensions. Spacer material is blanket deposited over the features in the selectively definable material and the deposited material is then etched to leave spacers on sides of the features. The selectively definable material is removed to leave a mask defined by the spacer material. The pattern defined by the spacer material may be transferred to a substrate, to form on pitch contacts.
    Type: Application
    Filed: November 1, 2007
    Publication date: May 7, 2009
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Gurtej Sandhu, Mark Kiehlbauch, Steve Kramer, John Smythe
  • Patent number: 7528066
    Abstract: An interconnect structure including a gouging feature at the bottom of one of the via openings and a method of forming the same are provided. In accordance with the present invention, the method of forming the interconnect structure does not disrupt the coverage of the deposited diffusion barrier in the overlying line opening, nor does it introduce damages caused by Ar sputtering into the dielectric material including the via and line openings. In accordance with the present invention, such an interconnect structure contains a diffusion barrier layer only within the via opening, but not in the overlying line opening. This feature enhances both mechanical strength and diffusion property around the via opening areas without decreasing volume fraction of conductor inside the line openings.
    Type: Grant
    Filed: March 1, 2006
    Date of Patent: May 5, 2009
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Terry A. Spooner, Oscar van der Straten
  • Publication number: 20090104779
    Abstract: An area where a lower electrode is in contact with a variable resistance material needs to be reduced to lower the power consumption of a variable resistance memory device. The present invention is to provide a method of producing a variable resistance memory element whereby the lower electrode can be formed smaller. Combining an anisotropic etching process with an isotropic etching process enables the lower electrode to be formed smaller.
    Type: Application
    Filed: October 17, 2008
    Publication date: April 23, 2009
    Inventors: Akiyoshi Seko, Natsuki Sato, Isamu Asano
  • Patent number: 7514365
    Abstract: A method of fabricating an opening or plug. In the process of forming the opening, before a photoresist layer is formed over a dielectric layer, a treatment process is performed to form a film on the dielectric layer, wherein the film can suppress the outgasing phenomenon of the dielectric layer and prevent the later formed photoresist layer from reacting with the running-off composition component from the dielectric layer. Therefore, the problem of incomplete development due to outgasing of the dielectric layer can be solved. Additionally, in the procedure for forming a plug, before a block layer is forming on a surface of a via, a treatment process is performed to form a film on the surface of the via. Therefore, the problem of having defects inside the block layer caused by outgasing of the dielectric layer can be overcome.
    Type: Grant
    Filed: November 16, 2005
    Date of Patent: April 7, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Yi-Fang Cheng, Chopin Chou
  • Publication number: 20090085097
    Abstract: Methods and associated structures of forming a microelectronic device are described. Those methods may include removing residual dielectric material from a metal gate structure, and then forming a stress relief layer on a top surface and on a sidewall region of the metal gate structure. A stress is introduced into a channel region disposed beneath the metal gate structure.
    Type: Application
    Filed: September 27, 2007
    Publication date: April 2, 2009
    Inventors: Lucian Shifren, Keith E. Zawadzki
  • Patent number: 7510952
    Abstract: A single crystalline structure includes a first insulation interlayer pattern, a first epitaxial layer pattern, a second insulation interlayer pattern, and a second epitaxial layer pattern. The first insulation interlayer pattern includes a contact hole that exposes a single crystalline seed. The first epitaxial layer pattern fills up the contact hole. The second insulation interlayer pattern is formed on the first insulation interlayer pattern and the first epitaxial layer pattern. The second insulation interlayer pattern has a trench that partially exposes the first epitaxial layer pattern and has an end disposed over an upper surface of the first epitaxial layer pattern. The second epitaxial layer pattern fills up the trench. Thus, voids are not generated in the second epitaxial layer pattern and a semiconductor device having the single crystalline structure exhibits improved reliability.
    Type: Grant
    Filed: January 18, 2006
    Date of Patent: March 31, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Hye-Soo Shin
  • Patent number: 7510973
    Abstract: A method for forming a fine pattern in a semiconductor device is provided. In one aspect, the method can construct a fine pattern in semiconductor devices. The fine pattern has a critical dimension that overcomes the resolution limit of an exposure equipment.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: March 31, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Keun Kyu Kong
  • Publication number: 20090072355
    Abstract: A protective dielectric layer is formed on a first shallow trench having straight sidewalls, while exposing a second shallow trench. An oxidation barrier layer is formed on the semiconductor substrate. A resist is applied and recessed within the second shallow trench. The oxidation barrier layer is removed above the recessed resist. The resist is removed and thermal oxidation is performed so that a thermal oxide collar is formed above the remaining oxidation mask layer. The oxidation barrier layer is thereafter removed and exposed semiconductor area therebelow depth is etched to form a bottle shaped shallow trench. The first and the bottle shaped trenches are filled with a dielectric material to form a straight sidewall shallow trench isolation structure and a bottle shallow trench isolation structure, respectively. Both shallow trench isolation structures may be employed to provide optimal electrical isolation and device performance to semiconductor devices having different depths.
    Type: Application
    Filed: September 17, 2007
    Publication date: March 19, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Lisa F. Edge, Johnathan E. Faltermeier, Naoyoshi Kusaba
  • Publication number: 20090075483
    Abstract: An ultra lightweight semiconductor device such as a photovoltaic device is fabricated on a non-etchable barrier layer which is disposed upon an etchable substrate. The device is contacted with an appropriate etchant for a period of time sufficient to remove at least a portion of the thickness of the substrate. The barrier layer prevents damage to the photovoltaic material during the etching process. Photovoltaic devices fabricated by this method have specific power levels in excess of 300 w/kg.
    Type: Application
    Filed: October 20, 2005
    Publication date: March 19, 2009
    Inventors: Subhendu Guha, Arindam Banerjee, Kevin Beernink, Todd Johnson, Ginger Pietka, Gregory DeMaggio, Shengzhong (Frank) Liu, Jeffrey Yang
  • Publication number: 20090075479
    Abstract: A substrate having a copper wiring is prepared. An insulating film is formed on the copper wiring. The insulating film is etched with a gas containing fluorine to form an opening reaching the copper wiring. A plasma treatment is carried out on a surface of copper exposed at a bottom of the opening without turning plasma discharge of f after forming the opening in the same chamber as the formation of the opening.
    Type: Application
    Filed: November 6, 2008
    Publication date: March 19, 2009
    Applicant: RENESAS TECHNOLOGY CORP.
    Inventor: Kenji Tabaru
  • Patent number: 7504339
    Abstract: A trench structure in a wafer of semiconductor material and the method of forming the trench structure are described. The trench structure is formed on a semiconductor wafer that has a top surface of slow oxidization rate—slower than that of other major crystallographic planes of the semiconductor material. The trench is etched into the semiconductor wafer. The trench has substantially vertical trench-sidewalls near the top surface, the vertical trench-sidewalls near the top surface containing crystallographic plane that oxidizes at a rate comparable to that of the top surface. An insulating layer is grown on the top surface and on the trench-sidewalls and on corners where sidewall surfaces approach the top surface, the insulating layer at the corners being substantially thicker than at the sidewall adjacent to the corners. The difference in the oxide thickness is due to the faster oxidizing planes exposed at the corners. Finally, the trench is filled with a dielectric material.
    Type: Grant
    Filed: June 1, 2005
    Date of Patent: March 17, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Zhihao Chen, Freidoon Mehrad, Brian K. Kirkpatrick, Jeff A. White, Edmund G. Russell, Jon Holt, Jason D. Mehigan
  • Patent number: 7501347
    Abstract: In a semiconductor device, capacitance between copper interconnections is decreased and the insulation breakdown is improved simultaneously, and a countermeasure is taken for misalignment via by a manufacturing method including the steps of forming an interconnection containing copper as a main ingredient in an insulative film above a substrate, forming insulative films and a barrier insulative film for a reservoir pattern, forming an insulative film capable of suppressing or preventing copper from diffusing on the upper surface and on the lateral surface of the interconnection and above the insulative film and the insulative film, forming insulative films of low dielectric constant, in which the insulative film is formed such that the deposition rate above the opposing lateral surfaces of the interconnections is larger than the deposition rate therebelow to form an air gap between the adjacent interconnections and, finally, planarizing the insulative film by interlayer CMP.
    Type: Grant
    Filed: June 5, 2006
    Date of Patent: March 10, 2009
    Assignee: Hitachi, Ltd.
    Inventors: Junji Noguchi, Takashi Matsumoto, Takayuki Oshima, Toshihiko Onozuka