Plural Coating Steps Patents (Class 438/702)
  • Patent number: 7960206
    Abstract: As a step in performing a process on a structure, a hole pattern is provided in a thin layer of organic resin masking material formed over the structure to provide a process mask. A processing step is then performed through the openings in the mask, and after a processing step is completed the mask is adjusted by a re-flow process in which the structure is placed into an atmosphere of solvent vapor of a solvent of the mask material. By way of the re-flow process, the mask material softens and re-flows to reduce the size of the openings in the mask causing edges of the surface areas on which the processing step was performed to be covered by the mask for subsequent processing steps.
    Type: Grant
    Filed: August 31, 2009
    Date of Patent: June 14, 2011
    Assignee: CSG Solar AG
    Inventors: Trevor Lindsay Young, Rhett Evans
  • Patent number: 7960285
    Abstract: A method for the production of a component structure. On embodiment provides a semiconductor body having a first side. A first trench and a second trench are produced, which extend into the semiconductor body proceeding from the first side and are arranged at a distance from one another in a lateral direction of the semiconductor body. A first material layer in the first trench is produced. A third trench proceeding from the second trench is produced, extending as far as the first material layer in the first lateral direction.
    Type: Grant
    Filed: April 18, 2008
    Date of Patent: June 14, 2011
    Assignee: Infineon Technologies AG
    Inventor: Franz Hirler
  • Publication number: 20110136332
    Abstract: A fuse base insulating region, for example, an insulating interlayer or a compensation region disposed in an insulating interlayer, is formed on a substrate. An etch stop layer is formed on the fuse base insulating region and forming an insulating interlayer having a lower dielectric constant than the first fuse base insulating region on the etch stop layer. A trench extending through the insulating interlayer and the etch stop layer and at least partially into the fuse base insulating region is formed. A fuse is formed in the trench. The fuse base insulating region may have a greater mechanical strength and/or density than the second insulating interlayer.
    Type: Application
    Filed: December 3, 2010
    Publication date: June 9, 2011
    Inventors: Sang-Hoon Ahn, Gil-Heyun Choi, Jong-Myeong Lee, Sang-Don Nam, Kyu-Hee Han
  • Patent number: 7947606
    Abstract: Methods of forming features and structures thereof are disclosed. In one embodiment, a method of forming a feature includes forming a first material over a workpiece, forming a first pattern for a lower portion of the feature in the first material, and filling the first pattern with a sacrificial material. A second material is formed over the first material and the sacrificial material, and a second pattern for an upper portion of the feature is formed in the second material. The sacrificial material is removed. The first pattern and the second pattern are filled with a third material.
    Type: Grant
    Filed: May 29, 2008
    Date of Patent: May 24, 2011
    Assignee: Infineon Technologies AG
    Inventors: Jiang Yan, Roland Hampp, Jin-Ping Han, Manfred Eller, Alois Gutmann
  • Publication number: 20110117744
    Abstract: According to one embodiment, a first pattern is formed at first pattern coverage in a first region on a film to be processed and a second pattern is formed at second pattern coverage in a second region on the film to be processed. During the formation of the second pattern, a second film formed of a block copolymer containing film or the like is formed on the film to be processed and is self-assembled. A plurality of kinds of polymers contained in the self-assembled second film are selectively removed to leave at least one kind of polymer to form the second pattern to bring the second coverage close to the first pattern coverage.
    Type: Application
    Filed: November 18, 2010
    Publication date: May 19, 2011
    Inventor: Shinichi ITO
  • Publication number: 20110108960
    Abstract: A trench structure and an integrated circuit comprising sub-lithographic trench structures in a substrate. In one embodiment the trench structure is created by forming sets of trenches with a lithographic mask and filling the sets of trenches with sets of step spacer blocks comprising two alternating spacer materials which are separately removable from each other. In one embodiment, the trench structures formed are one-nth the thickness of the lithographic mask's feature size. The size of the trench structures being dependent on the thickness and number of spacer material layers used to form the set of step spacer blocks. The number of spacer material layers being n/2 and the thickness of each spacer material layer being one-nth of the lithographic mask's feature size.
    Type: Application
    Filed: January 13, 2011
    Publication date: May 12, 2011
    Applicant: International Business Machines Corporation
    Inventors: Chung H. Lam, Hemantha K. Wickramasinghe
  • Patent number: 7939449
    Abstract: A conductive via of a semiconductor device includes a relatively small diameter portion extending into an active surface of a fabrication substrate and a corresponding, relatively large diameter portion that extends into a back side of the fabrication substrate. This type of conductive via may be fabricated by forming the relatively small diameter portion before or during BEOL processing, while the large diameter portion of each conductive via may be fabricated after BEOL processing is complete. Electronic devices that include one or more semiconductor devices with such conductive vias are also disclosed.
    Type: Grant
    Filed: June 3, 2008
    Date of Patent: May 10, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Chad A. Cobbley, Jonathon G. Greenwood
  • Patent number: 7935602
    Abstract: The invention includes methods of forming isolation regions. An opening can be formed to extend into a semiconductor material, and an upper periphery of the opening can be protected with a liner while a lower periphery is unlined. The unlined portion can then be etched to form a widened region of the opening. Subsequently, the opening can be filled with insulative material to form an isolation region. Transistor devices can then be formed on opposing sides of the isolation region, and electrically isolated from one another with the isolation region. The invention also includes semiconductor constructions containing an electrically insulative isolation structure extending into a semiconductor material, with the structure having a bulbous bottom region and a stem region extending upwardly from the bottom region to a surface of the semiconductor material.
    Type: Grant
    Filed: June 28, 2005
    Date of Patent: May 3, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Hongmei Wang, Fred D. Fishburn, Janos Fucsko, T. Earl Allen, Richard H. Lane, Robert J. Hanson, Kevin R. Shea
  • Patent number: 7934314
    Abstract: A method for forming a conductive film structure is provided, which includes providing a flexible insulating substrate, forming a conductive film overlying the flexible insulating substrate, patterning the conductive film to form a plurality of micro-wires overlying the flexible insulating substrate, wherein the micro-wires are extended substantially parallel to each other, forming an insulating layer overlying the flexible insulating substrate and the micro-wires, and winding or folding the flexible insulating substrate along an axis substantially parallel to an extending direction of the micro-wires to form a conducting lump.
    Type: Grant
    Filed: November 25, 2008
    Date of Patent: May 3, 2011
    Assignee: Industrial Technology Research Institute
    Inventors: Min-Chieh Chou, Tung-Chuan Wu, Jen-Hui Tsai, Hung-Yi Lin
  • Publication number: 20110092046
    Abstract: An apparatus and method for holding a semiconductor device in a wafer. A bar is connected to the wafer. A first sidewall comprises a first end and a second, and is connected to the bar at its first end. A first tab comprises a first end and a second end, and is connected to the second end of the first sidewall at its first end and connected to the first side of the semiconductor device at its second end. The thickness of the first tab is less than the thickness of the bar and the thickness of the first sidewall.
    Type: Application
    Filed: December 28, 2010
    Publication date: April 21, 2011
    Applicant: APPLIED NANOSTRUCTURES, INC.
    Inventor: Ami Chand
  • Patent number: 7927961
    Abstract: A disclosed selective etching method comprises mixing a polymer with carbon nanotubes, applying the mixture to an etching target layer to form a carbon nanotube-polymer composite layer, forming a hard mask by patterning the carbon nanotube-polymer composite layer, such that a part of the etching target layer is selectively exposed, and selectively etching the etching target layer exposed through the hard mask. The polymer preferably includes a photoresist. Also disclosed is a method for forming an isolation structure of a memory device using the selective etching method.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: April 19, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Dae Jin Park
  • Patent number: 7921799
    Abstract: A pattern forming apparatus comprises a surface treatment system and an ink jet system 14, where a solvent is sprayed from a solvent spray nozzle of the surface treatment system to surface of a glass substrate where a bus line pattern groove is formed. The ink is discharged from an ink discharge nozzle of the ink jet system into the groove of bus line pattern on a glass substrate, and a bus line pattern is formed.
    Type: Grant
    Filed: May 31, 2006
    Date of Patent: April 12, 2011
    Assignee: Future Vision Inc.
    Inventors: Fumitaka Takemura, Tomoe Yamazaki, Yosuke Kobayashi, Tsutomu Tanaka
  • Publication number: 20110081761
    Abstract: A method of manufacturing a semiconductor device may include, but is not limited to the following processes. A first recess is formed in a semiconductor substrate to define an active region on the semiconductor substrate. The active region includes a protruding portion of the semiconductor substrate surrounded by the first recess. The protruding portion has a sloped side surface. A first insulating film that fills the first recess is formed. A gate recess is formed in the active region to form a thin film portion that upwardly extends. The thin film portion is positioned between the gate recess and the first insulating film. The thin film portion is a part of the protruding portion. An upper part of the thin film portion is removed by wet-etching to adjust a height of the thin film portion.
    Type: Application
    Filed: October 1, 2010
    Publication date: April 7, 2011
    Applicant: ELPIDA MEMORY, INC.
    Inventors: KATSUMI KOGE, TERUYUKI MINE, YASUSHI YAMAZAKI
  • Patent number: 7919347
    Abstract: Methods of fabricating P-I-N diodes, structures for P-I-N diodes and design structure for P-I-N diodes. A method includes: forming a trench in a silicon substrate; forming a doped region in the substrate abutting the trench; growing an intrinsic epitaxial silicon layer on surfaces of the trench; depositing a doped polysilicon layer to fill remaining space in the trench, performing a chemical mechanical polish so top surfaces of the intrinsic epitaxial silicon layer and the doped polysilicon layer are coplanar; forming a dielectric isolation layer in the substrate; forming a dielectric layer on top of the isolation layer; and forming a first metal contact to the doped polysilicon layer through the dielectric layer and a second contact to the doped region the dielectric and through the isolation layer.
    Type: Grant
    Filed: January 6, 2009
    Date of Patent: April 5, 2011
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Ramachandra Divakaruni, Carl John Radens, William Robert Tonti
  • Publication number: 20110076830
    Abstract: A semiconductor substrate is provided in which an alignment mark is formed that can be used for an aligment even after the formation of an impurity diffused layer by the planarization of an epitaxial film. A trench is formed in an alignment region of an N+-type substrate. This trench is used to leave voids after the formation of an N?-type layer. Then, the voids formed in the N+-type substrate can be used as an alignment mark. Thus, such a semiconductor substrate can be used to provide an alignment in the subsequent step of manufacturing the semiconductor apparatus. Thus, the respective components constituting the semiconductor apparatus can be formed at desired positions accurately.
    Type: Application
    Filed: December 9, 2010
    Publication date: March 31, 2011
    Applicants: SUMCO CORPORATION, DENSO CORPORATION
    Inventors: Syouji NOGAMI, Tomonori YAMAOKA, Shoichi YAMAUCHI, Nobuhiro TSUJI, Toshiyuki MORISHITA
  • Patent number: 7915064
    Abstract: A process for overcoming extreme topographies by first planarizing a cavity in a semiconductor substrate in order to create a planar surface for subsequent lithography processing. As a result of the planarizing process for extreme topographies, subsequent lithography processing is enabled including the deposition of features in close proximity to extreme topographic surfaces (e.g., deep cavities or channels) and, including the deposition of features within a cavity. In a first embodiment, the process for planarizing a cavity in a semiconductor substrate includes the application of dry film resists having high chemical resistance. In a second embodiment, the process for planarizing a cavity includes the filling of cavity using materials such as polymers, spin on glasses, and metallurgy.
    Type: Grant
    Filed: August 10, 2009
    Date of Patent: March 29, 2011
    Assignee: International Business Machines Corporation
    Inventors: Guy A. Cohen, Steven A. Cordes, Sherif A. Goma, Joanna Rosner, Jeannine M. Trewhella
  • Publication number: 20110070738
    Abstract: A method of lithography patterning includes forming a hard mask layer on a material layer and forming a capping layer on the hard mask layer. The capping layer does not react with oxygen gas during a photoresist ashing process. The capping layer is patterned by using a first resist pattern and a second resist pattern as etch masks. After the capping layer is patterned, the hard mask layer is patterned by using the patterned capping layer as an etch mask.
    Type: Application
    Filed: September 1, 2010
    Publication date: March 24, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ming-Chung LIANG, Chih-Hao CHEN, Yu-Yu CHEN, Hsin-Yi TSAI
  • Patent number: 7910488
    Abstract: Methods for etching, such as for fabricating a CMOS logic gate are provided herein. In some embodiments, a method of etching includes (a) providing a substrate having a first stack and a second stack disposed thereupon, the first stack comprising a high-k dielectric layer, a metal layer formed over the high-k dielectric layer, and a first polysilicon layer formed over the metal layer, the second stack comprising a second polysilicon layer, wherein the first and second stacks are substantially equal in thickness; (b) simultaneously etching a first feature in the first polysilicon layer and a second feature in the second polysilicon layer until the metal layer in the first stack is exposed; (c) simultaneously etching the metal layer and second polysilicon layer to extend the respective first and second features into the first and second stacks; and (d) etching the high-k dielectric layer.
    Type: Grant
    Filed: July 12, 2007
    Date of Patent: March 22, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Nicolas Gani, Meihua Shen, Shashank Deshmukh
  • Patent number: 7910485
    Abstract: A method for forming a contact hole in a semiconductor device includes forming an insulation layer over a substrate, forming a hard mask pattern over the insulation layer, forming a first contact hole by partially etching the insulation layer, forming a spacer on sidewalls of the first contact hole, forming a second contact hole to expose the substrate by etching the remaining insulation layer within the first contact hole, forming a third contact hole by horizontally etching the second contact hole, wherein a line width of the third contact hole is wider than that of the first contact hole, and removing the hard mask pattern and the spacer.
    Type: Grant
    Filed: December 10, 2007
    Date of Patent: March 22, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hong-Gu Yi
  • Patent number: 7902074
    Abstract: A method for fabricating a semiconductor device comprises patterning a layer of photoresist material to form a plurality of mandrels in a device array region. The method further comprises depositing an oxide material over the plurality of mandrels and over a device peripheral region. The method further comprises forming a pattern of photoresist material over the oxide material in the device peripheral region. The method further comprises anisotropically etching the oxide material from exposed horizontal surfaces in the device array region. The method further comprises selectively etching photoresist material from the device array region and from the device peripheral region.
    Type: Grant
    Filed: April 7, 2006
    Date of Patent: March 8, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Ardavan Niroomand, Baosuo Zhou, Ramakanth Alapati
  • Patent number: 7902075
    Abstract: In one embodiment, a semiconductor device is formed having a trench structure. The trench structure includes a single crystalline semiconductor plug formed along exposed upper surfaces of the trench. In one embodiment, the single crystalline semiconductor plug seals the trench to form a sealed core.
    Type: Grant
    Filed: September 8, 2008
    Date of Patent: March 8, 2011
    Assignee: Semiconductor Components Industries, L.L.C.
    Inventors: Gordon M. Grivna, Gary H. Loechelt, John Michael Parsey, Jr., Mohammed Tanvir Quddus
  • Patent number: 7897462
    Abstract: A semiconductor component that includes gate electrodes and shield electrodes and a method of manufacturing the semiconductor component. A semiconductor material has a device region, a gate contact region, a termination region, and a drain contact region. One or more device trenches is formed in the device region and one or more termination trenches is formed in the edge termination region. Shielding electrodes are formed in portions of the device trenches that are adjacent their floors. A gate dielectric material is formed on the sidewalls of the trenches in the device region and gate electrodes are formed over and electrically isolated from the shielding electrodes. The gate electrodes in the trenches in the device region are connected to the gate electrodes in the trenches in the gate contact region. The shielding electrodes in the trenches in the device region are connected to the shielding electrodes in the termination region.
    Type: Grant
    Filed: November 14, 2008
    Date of Patent: March 1, 2011
    Assignee: Semiconductor Components Industries, L.L.C.
    Inventors: Peter A. Burke, Duane B. Barber, Brian Pratt
  • Patent number: 7892982
    Abstract: A method for forming fine patterns of a semiconductor device includes forming an etching film on a substrate having first and second areas, forming first mask patterns on the substrate to have a first pattern density in the first area and a second pattern density in the second area, forming first capping patterns between the first mask patterns, forming second capping patterns between the first mask patterns, such that recess areas are formed between second capping patterns, and such that a first etching pattern is defined to include the first and second capping patterns, forming second mask patterns in the recess areas to include the first and second mask patterns, removing one of the first and second etching patterns, such that a single etching pattern is remaining on the substrate, and etching the etching film using the remaining etching pattern as an etch mask to form etching film patterns.
    Type: Grant
    Filed: October 30, 2007
    Date of Patent: February 22, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Doo-youl Lee, Pan-suk Kwak, Sung-gon Jung, Jung-hyeon Lee, Suk-joo Lee, Cha-won Koh, Ji-young Lee
  • Publication number: 20110034030
    Abstract: A method of forming minute patterns in a semiconductor device, and more particularly, a method of forming minute patterns in a semiconductor device having an even number of insert patterns between basic patterns by double patterning including insert patterns between a first basic pattern and a second basic pattern which are transversely separated from each other on a semiconductor substrate, wherein a first insert pattern and a second insert pattern are alternately repeated to form the insert patterns, the method includes the operation of performing a partial etching toward the second insert pattern adjacent to the second basic pattern, or the operation of forming a shielding layer pattern, thereby forming the even number of insert patterns.
    Type: Application
    Filed: October 15, 2010
    Publication date: February 10, 2011
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sang-yong Park, Jae-kwan Park, Yong-sik Yim, Jaw-hwang Sim
  • Patent number: 7884022
    Abstract: Pitch multiplication is performed using a two step process to deposit spacer material on mandrels. The precursors of the first step react minimally with the mandrels, forming a barrier layer against chemical reactions for the deposition process of the second step, which uses precursors more reactive with the mandrels. Where the mandrels are formed of amorphous carbon and the spacer material is silicon oxide, the silicon oxide is first deposited by a plasma enhanced deposition process and then by a thermal chemical vapor deposition process. Oxygen gas and plasma-enhanced tetraethylorthosilicate (TEOS) are used as reactants in the plasma enhanced process, while ozone and TEOS are used as reactants in the thermal chemical vapor deposition process. The oxygen gas is less reactive with the amorphous carbon than ozone, thereby minimizing deformation of the mandrels caused by oxidation of the amorphous carbon.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: February 8, 2011
    Assignee: Round Rock Research, LLC
    Inventors: Jingyi Bai, Gurtej S Sandhu, Shuang Meng
  • Publication number: 20110027998
    Abstract: A method of manufacturing a nano structure by etching, using a substrate containing Si. A focused Ga ion or In ion beam is irradiated on the surface of the substrate containing Si. The Ga ions or the In ions are injected while sputtering away the surface of the substrate so that a layer containing Ga or In is formed on the surface of the substrate. Dry etching by a gas containing fluorine (F) is performed with the layer containing the Ga or the In formed on the surface of the substrate taken as an etching mask, and the nano structure is formed having a pattern of at least 2 ?m tin in depth according to a predetermined line width.
    Type: Application
    Filed: September 13, 2010
    Publication date: February 3, 2011
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Taiko Motoi, Kenji Tamamori, Shinan Wang, Masahiko Okunuki, Haruhito Ono, Toshiaki Aiba, Nobuki Yoshimatsu
  • Patent number: 7879729
    Abstract: In a method of forming micro patterns of a semiconductor device, first etch mask patterns are formed over a semiconductor substrate. An auxiliary film is formed over the semiconductor substrate including a surface of the first etch mask patterns. Second etch mask patterns are formed between the auxiliary films formed on sidewalls of the first etch mask patterns. The first etch mask patterns and the second etch mask patterns are formed using the same material. The auxiliary films between the first and second etch mask patterns are removed. Accordingly, more micro patterns can be formed than allowed by the resolution limit of an exposure apparatus while preventing misalignment.
    Type: Grant
    Filed: March 25, 2008
    Date of Patent: February 1, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Woo Yung Jung
  • Patent number: 7879728
    Abstract: A method to form sub-lithographic trench structures in a substrate and an integrated circuit comprising sub-lithographic trench structures in a substrate. The method includes forming sets of trenches with a lithographic mask and filling the sets of trenches with sets of step spacer blocks comprising two alternating spacer materials which are separately removable from each other. In one embodiment, the trench structures formed are one-nth the thickness of the lithographic mask's feature size. The size of the trench structures being dependent on the thickness and number of spacer material layers used to form the set of step spacer blocks. The number of spacer material layers being n/2 and the thickness of each spacer material layer being one-nth of the lithographic mask's feature size.
    Type: Grant
    Filed: January 23, 2008
    Date of Patent: February 1, 2011
    Assignee: International Business Machines Corporation
    Inventors: Chung H. Lam, Hemantha K. Wickramasinghe
  • Publication number: 20110014792
    Abstract: A fin mask for forming saddle type fins in each of active regions formed in an island shape having a certain size with a major axis and a minor axis includes a first fin mask of a line type, and a second fin mask of an island type, wherein the first fin mask and the second fin mask in combination expose saddle type fin regions and cover ends of the neighboring active regions along the major axis.
    Type: Application
    Filed: September 27, 2010
    Publication date: January 20, 2011
    Applicant: Hynix Semiconductor Inc.
    Inventor: Kwang-Ok KIM
  • Patent number: 7871530
    Abstract: Provided is near-field optical probe including: a cantilever arm support portion that is formed of a lower silicon layer of a silicon-on-insulator (SOI) substrate, the cantilever arm support portion having a through hole formed therein at a side of the lower silicon layer; and a cantilever arm forming of a junction oxidation layer pattern and an upper silicon layer pattern on the SOI substrate that are supported on an upper surface of the lower silicon layer and each have a smaller hole than the through hole, a silicon oxidation layer pattern having a tip including an aperture at a vertical end, corresponding with the hole on the upper silicon layer pattern, and an optical transmission prevention layer that is formed on the silicon oxidation layer pattern and does not cover the aperture.
    Type: Grant
    Filed: May 14, 2009
    Date of Patent: January 18, 2011
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Eunkyoung Kim, Sung Q Lee, Kang Ho Park
  • Patent number: 7871933
    Abstract: A stepper is combined with hardware that deposits a layer of material in the course of forming an integrated circuit, thus performing the deposition, patterning and cleaning without exposing the wafer to a transfer between tools and combining the function of three tools in a composite tool. The pattern-defining material is removed by the application of UV light through the mask of the stepper, thereby eliminating the bake and development steps of the prior art method. Similarly, a flood exposure of UV eliminates the cleaning steps of the prior art method.
    Type: Grant
    Filed: December 1, 2005
    Date of Patent: January 18, 2011
    Assignee: International Business Machines Corporation
    Inventors: Michael P. Chudzik, Joseph F. Shepard, Jr.
  • Patent number: 7871934
    Abstract: A process is provided for forming vertical contacts in the manufacture of integrated circuits and devices. The process eliminates the need for precise mask alignment and allows the etch of the contact hole to be controlled independent of the etch of the interconnect trough. The process includes the steps of: forming an insulating layer on the surface of a substrate; forming an etch stop layer on the surface of the insulating layer; forming an opening in the etch stop layer; etching to a first depth through the opening in the etch stop layer and into the insulating layer to form an interconnect trough; forming a photoresist mask on the surface of the etch stop layer and in the trough; and continuing to etch through the insulating layer until reaching the surface of the substrate to form a contact hole. The above process may be repeated one or more times during the formation of multilevel metal integrated circuits.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: January 18, 2011
    Assignee: Round Rock Research, LLC
    Inventors: Charles H. Dennison, Trung T. Doan
  • Patent number: 7867894
    Abstract: A metallic film 43 that becomes the matrix of pad 32 is formed on semiconductor substrate 41. Next, through hole 31 is formed in the semiconductor substrate 41 facing the metallic film 43 at the portion corresponding to an area where the pad 32 is formed. Thereafter, penetration electrode 17 is formed in through hole 31. Next, penetration portion 49 to expose the side of the penetration electrode 17 is formed in the semiconductor substrate 41. Next, an insulative member 16 is formed to be filled up in at least the penetration portion 49. After that, the pad 32 is formed by patterning the metallic film 43.
    Type: Grant
    Filed: October 24, 2008
    Date of Patent: January 11, 2011
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventor: Hideaki Sakaguchi
  • Patent number: 7867846
    Abstract: An Organic Light Emitting Display (OLED) and its fabrication method has a pixel defining layer provided on a first electrode which is formed with a gas vent groove to allow gas to vent when the pixel defining layer is being formed, so that gas is not left in a pixel but vented when a donor film is laminated by a Laser-Induced Thermal Imaging (LITI) method, thereby decreasing edge open failures.
    Type: Grant
    Filed: July 13, 2010
    Date of Patent: January 11, 2011
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Tae-Min Kang, Seong-Taek Lee, Myung-Won Song, Mu-Hyun Kim, Byung-Doo Chin, Jae-Ho Lee
  • Publication number: 20110003479
    Abstract: A method for creating structures in a semiconductor assembly is provided. The method includes etching apertures into a dielectric layer and applying a polymer layer over the dielectric layer. The polymer layer is applied uniformly and fills the apertures at different rates depending on the geometry of the apertures, or on the presence or absence of growth accelerating material. The polymer creates spacers for the etching of additional structure in between the spacers. The method is capable of achieving structures smaller than current lithography techniques.
    Type: Application
    Filed: September 13, 2010
    Publication date: January 6, 2011
    Applicant: Micron Technology, Inc.
    Inventor: Gurtej Sandhu
  • Patent number: 7858483
    Abstract: A method for forming a capacitor of a semiconductor device includes forming a first insulation layer having a storage node plug on a semiconductor substrate; forming an etch stop layer and a second insulation layer sequentially on the substrate having the first insulation layer; forming a hole exposing a portion of the storage node plug by selectively etching the second insulation layer by using the etch stop layer; recessing a portion of the storage node plug exposed by the hole; forming a barrier metal layer on a surface of the recessed storage node plug; forming a storage node electrode connected to the storage node plug through the barrier metal layer in the hole; and forming a dielectric layer and a metal layer for a plate electrode sequentially on the storage node electrode.
    Type: Grant
    Filed: June 15, 2005
    Date of Patent: December 28, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Hyung Bok Choi, Jong Bum Park, Kee Jeung Lee, Jong Min Lee
  • Patent number: 7855151
    Abstract: A slot is formed that reaches through a first side of a silicon substrate to a second side of the silicon substrate. A trench is laser patterned. The trench has a mouth at the first side of the silicon substrate. The trench does not reach the second side of the silicon substrate. The trench is dry etched until a depth of at least a portion of the trench is extended approximately to the second side of the silicon substrate (12). A wet etch is performed to complete formation of the slot. The wet etch etches silicon from all surfaces of the trench.
    Type: Grant
    Filed: August 21, 2007
    Date of Patent: December 21, 2010
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Swaroop K. Kommera, Siddhartha Bhowmik, Richard J. Oram, Sriram Ramamoorthi, David M. Braun
  • Publication number: 20100317195
    Abstract: A method for fabricating an aperture is disclosed. The method includes the steps of: depositing a dielectric layer and a hard mask on surface of a semiconductor substrate; patterning the hard mask by forming an aperture in the hard mask; utilizing a gas containing CaXb and CdHXe to perform a pre-treatment on the patterned hard mask and the dielectric layer, in which a, b, d and e from CaXb and CdHXe are integers and X represents halogen atom; and performing an etching process to transfer the aperture into the dielectric layer.
    Type: Application
    Filed: June 10, 2009
    Publication date: December 16, 2010
    Inventors: Chih-Wen Feng, Pei-Yu Chou, Jiunn-Hsiung Liao, Ying-Chih Lin, Feng-Yi Chang, Meng-Chun Lee
  • Patent number: 7846756
    Abstract: A method of making a device is disclosed including: forming a first hard mask layer over an underlying layer; forming a first imprint resist layer over the underlying layer; forming first features over the first hard mask layer by bringing a first imprint template in contact with the first imprint resist layer; forming a first spacer layer over the first features; etching the first spacer layer to form a first spacer pattern and to expose top of the first features; removing the first features; patterning the first hard mask, using the first spacer pattern as a mask, to form first hard mask features; and etching at least part of the underlying layer using the first hard mask features as a mask.
    Type: Grant
    Filed: December 31, 2008
    Date of Patent: December 7, 2010
    Assignee: SanDisk 3D LLC
    Inventors: Bing K. Yen, Chun-Ming Wang, Yung-Tin Chen, Steven Maxwell
  • Publication number: 20100304569
    Abstract: A method of forming a contact hole is provided. A pattern is formed in a photo resist layer. The pattern is exchanged into a silicon photo resist layer to form a first opening. Another pattern is formed in another photo resist layer. The pattern is exchanged into a silicon photo resist layer to form a second opening. The pattern having the first, and second openings is exchanged into the interlayer dielectric layer, and etching stop layer to form the contact hole. The present invention has twice exposure processes and twice etching processes to form the contact hole having small distance.
    Type: Application
    Filed: August 12, 2010
    Publication date: December 2, 2010
    Inventors: Pei-Yu Chou, Jiunn-Hsiung Liao
  • Patent number: 7842615
    Abstract: A semiconductor device having a copper line and a method of forming the same so as to prevent a bridge phenomenon between neighboring upper lines are described. The method may include the steps of forming a capping layer and an intermetal dielectric layer in a stacked configuration over a substrate in which lower lines are formed, forming trenches defining an upper metal line region on the intermetal dielectric layer, and forming a spacer on inner sidewalls of the trenches. A via may then be formed under the exposed first trench using a photolithography process and the spacer for alignment. After removing the spacer, a barrier metal film may be formed on inner walls of the trenches and the via, a copper metal line film may be gap-filled within the trenches and the via, and a surface of the semiconductor device may be polished.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: November 30, 2010
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Jeong Ho Park
  • Patent number: 7838430
    Abstract: A method and apparatus for controlling characteristics of a plasma in a semiconductor substrate processing chamber using a dual frequency RF source is provided. The method comprises supplying a first RF signal to a first electrode disposed in a processing chamber, and supplying a second RF signal to the first electrode, wherein an interaction between the first and second RF signals is used to control at least one characteristic of a plasma formed in the processing chamber.
    Type: Grant
    Filed: April 12, 2004
    Date of Patent: November 23, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Steven C. Shannon, Dennis S. Grimard, Theodoros Panagopoulos, Daniel J. Hoffman, Michael G. Chafin, Troy S. Detrick, Alexander Paterson, Jingbao Liu, Taeho Shin, Bryan Y. Pu
  • Patent number: 7838412
    Abstract: A manufacturing method of a semiconductor device wherein a metal pad is etched to form a trench in which a central part is concave in form, or to form a trench in the shape of a cylinder or a parallelepiped on the edge part of a metal pad. Accordingly, the contact area between a polymide isoindro quirazorindione (PIQ) or similar curable layer and the metal pad is increased and the bondability is improved. Accordingly, the technology of improving the characteristic of device by preventing the problem that the metal pad is excessively opened in a subsequent curing process and the layer of a lower portion of the metal pad is attacked is disclosed.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: November 23, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hyung Kyu Kim
  • Publication number: 20100285667
    Abstract: A method of restoring the dielectric constant, loss and leakage of an exposed surface of a low k dielectric material caused during dry etching of the low k dielectric material prior to the removal of the damaged layer by wet etch chemistries is provided. Once restored, the surface of the dielectric material will no longer be susceptible to removal by the highly anisotropic wet etching process. However, the wet etch will still pose an advantage as it can remove any etch/ash residues at the bottom of a feature formed into the low k dielectric material.
    Type: Application
    Filed: May 6, 2009
    Publication date: November 11, 2010
    Applicant: International Business Machines Corporation
    Inventors: Griselda Bonilla, Satyanarayana V. Nitta, Terry A. Spooner
  • Patent number: 7829465
    Abstract: The present invention provides a method of etching features in a substrate. The method comprising the steps of placing the substrate on a substrate support in a vacuum chamber. An alternatingly and repeating process is performed on the substrate until a predetermined trench depth and a predetermined sidewall angle are achieved. One part of the process is a deposition step which is carried out by introducing at least one polymer containing gas into the vacuum chamber. A plasma is ignited from the polymer containing gas which is then used to deposit a polymer on the substrate. The other part of the alternatingly and repeating process is an etching step which is carried out by introducing an etchant containing gas, a polymer containing gas and a scavenger containing gas into the vacuum chamber. A plasma is ignited from the etchant containing gas, the polymer containing gas and the scavenger containing gas which is then used to etch the substrate.
    Type: Grant
    Filed: August 6, 2007
    Date of Patent: November 9, 2010
    Inventors: Shouliang Lai, Ken Mackenzie, David Johnson
  • Patent number: 7828987
    Abstract: In some implementations, a method is provided in a plasma reactor for etching a trench in an organic planarization layer of a resist structure comprising a photoresist mask structure over a hardmask masking the organic planarization layer. This may include introducing into the plasma reactor an etchant gas chemistry including N2, H2, and O2 and etching a masked organic planarization layer using a plasma formed from the etchant gas chemistry. This may include etching through the planarization layer to form a trench with a single etch step.
    Type: Grant
    Filed: March 20, 2006
    Date of Patent: November 9, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Jens Karsten Schneider, Ying Xiao, Gerardo A. Delgadino
  • Publication number: 20100264471
    Abstract: The present invention relates to improved metal-oxide-semiconductor field effect transistor (MOSFET) devices with stress-inducing structures located above the gate structure or at or near the source and drain regions. Specifically, a dielectric layer in on the MOSFET and at least one stress-inducing wedge is pressed into the dielectric layer to induce a stress in the channel of the MOSFET. The at least one stress-inducing wedge is located above the gate of an n-channel MOSFET (nMOSFET) and the at least one stress-inducing wedge is located in or near the source and drain regions, but not above the gate of a p-channel MOSFET (pMOSFET). The former creates tensile stress in the channel of an nMOSFET and then enhance the performance of the nMOSFET. The latter produces compressive stress in the channel of a pMOSFET and then enhance the performance of the pMOSFET.
    Type: Application
    Filed: April 10, 2010
    Publication date: October 21, 2010
    Inventor: Huilong Zhu
  • Patent number: 7816168
    Abstract: A method for forming a color filter is provided. A substrate having a passivation layer thereon is provided. The passivation layer has at least one trench therein within a peripheral region of the substrate. A first color filter layer is formed over the passivation layer to fill the trench by performing a first spin-on coating process with a first spin rate. Thereafter, the first color filter layer is patterned so as to form a plurality of first color filter blocks in a display region of the substrate and expose a portion of the passivation layer. A second color filter layer is formed over the passivation layer by performing a second spin-on coating process with a second spin rate, which is larger than the first spin rate. Next, the second color filter layer is patterned to form a plurality of second color filter blocks between the first color filter blocks respectively.
    Type: Grant
    Filed: June 13, 2008
    Date of Patent: October 19, 2010
    Assignee: United Microelectronics Corp.
    Inventor: Hsin-Ping Wu
  • Patent number: 7816271
    Abstract: Semiconductor fabrication methods to forma of via contacts in DSL (dual stress liner) semiconductor devices are provided, in which improved etching process flows are implemented to enable etching of via contact openings through overlapped and non-overlapped regions of the dual stress liner structure to expose underlying salicided contacts and other device contacts, while mitigating or eliminating defect mechanisms such as over etching of contact regions underlying non-overlapped regions of the DSL.
    Type: Grant
    Filed: July 14, 2007
    Date of Patent: October 19, 2010
    Assignees: Samsung Electronics Co., Ltd., International Business Machines Corporation
    Inventors: Kyoung Woo Lee, Ja Hum Ku, WanJae Park, Chong Kwang Chang, Theodorus E. Standaert
  • Patent number: 7807579
    Abstract: An oxygen-free hydrogen plasma ashing process particularly useful for low-k dielectric materials based on hydrogenated silicon oxycarbide materials. The main ashing step includes exposing a previously etched dielectric layer to a plasma of hydrogen and optional nitrogen, a larger amount of water vapor, and a yet larger amount of argon or helium. Especially for porous low-k dielectrics, the main ashing plasma additionally contains a hydrocarbon gas such as methane. The main ashing may be preceded by a short surface treatment by a plasma of a hydrogen-containing reducing gas such as hydrogen and optional nitrogen.
    Type: Grant
    Filed: April 19, 2007
    Date of Patent: October 5, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Chan-Syun Yang, Changhun Lee