Plural Coating Steps Patents (Class 438/702)
  • Publication number: 20090057923
    Abstract: Methods of fabricating semiconductor devices and structures thereof are disclosed. In a preferred embodiment, a method of manufacturing a semiconductor device includes providing a semiconductor wafer, forming a first insulating material over the semiconductor wafer, and forming a plurality of first features and a plurality of second features in the first insulating material. The plurality of first features is removed, leaving an unfilled pattern in the first insulating material. The unfilled pattern in the first insulating material is filled with a second insulating material.
    Type: Application
    Filed: September 4, 2007
    Publication date: March 5, 2009
    Inventors: Sun-Oo Kim, Yoon-Hae Kim
  • Publication number: 20090057817
    Abstract: A micro electromechanical system and a fabrication method thereof, which has trenches formed on a substrate to prevent circuits from interfering each other, and to prevent over-etching of the substrate when releasing a microstructure.
    Type: Application
    Filed: August 27, 2007
    Publication date: March 5, 2009
    Inventors: Li-Ken YEH, I-Hsiang CHIU
  • Publication number: 20090053879
    Abstract: A method of fabricating a semiconductor device includes providing a semiconductor substrate in which a gate insulating layer and a pad layer are formed in an active region. A first trench is formed in an isolation region of the substrate. A passivation film is formed to cover the pad layer and fill the first trench. A second trench is formed by patterning the pad layer and removing an exposed semiconductor substrate, the second trench being formed within the first trench. An ion implantation process is performed on the semiconductor substrate exposed through the second trench.
    Type: Application
    Filed: December 5, 2007
    Publication date: February 26, 2009
    Applicant: Hynix Semiconductor Inc,
    Inventor: Guee-Hwang SIM
  • Patent number: 7482275
    Abstract: An insulation film on a substrate is subjected to a plasma treatment using a gas containing at least either of a CH-based gas and a CO-based gas, whereby variations in the dielectric constant of the insulation film and adsorption of water onto the insulation film can be suppressed.
    Type: Grant
    Filed: March 14, 2006
    Date of Patent: January 27, 2009
    Assignee: Sony Corporation
    Inventors: Keiji Ohshima, Takahiro Saito, Kazunori Nagahata
  • Publication number: 20090017630
    Abstract: Semiconductor fabrication methods to forma of via contacts in DSL (dual stress liner) semiconductor devices are provided, in which improved etching process flows are implemented to enable etching of via contact openings through overlapped and non-overlapped regions of the dual stress liner structure to expose underlying salicided contacts and other device contacts, while mitigating or eliminating defect mechanisms such as over etching of contact regions underlying non-overlapped regions of the DSL.
    Type: Application
    Filed: July 14, 2007
    Publication date: January 15, 2009
    Inventors: Kyoung Woo Lee, Ja Hum Ku, WanJae Park, Chong Kwang Chang, Theodorus E. Standaert
  • Patent number: 7476626
    Abstract: By providing a barrier layer stack including a silicon nitride layer for confining a copper-based metal region, thereby also effectively avoiding any diffusion of oxygen and moisture into the copper region, and a nitrogen-enriched silicon carbide layer, the total relative permittivity may be maintained at a low level, since the thickness of the silicon nitride layer may be moderately thin, while the relatively thick silicon carbide nitride layer provides the required high etch selectivity during a subsequent patterning process of the low-k dielectric layer.
    Type: Grant
    Filed: June 28, 2006
    Date of Patent: January 13, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Joerg Hohage, Matthias Lehr, Volker Kahlert
  • Publication number: 20090004868
    Abstract: In one embodiment, a method comprises forming a sacrificial amorphous silicon layer on a semiconductor substrate, forming a hardmask on the amorphous silicon layer, etching one or more lines in the sacrificial amorphous silicon layer, growing oxide structures on the amorphous silicon layer, and forming a trench in the semiconductor substrate between the oxide structures.
    Type: Application
    Filed: June 29, 2007
    Publication date: January 1, 2009
    Inventors: Brian S. Doyle, Uday Shah, Jack T. Kavalieros
  • Publication number: 20080315303
    Abstract: The method of forming a semiconductor structure in a substrate comprises, forming a first trench with a first width We and a second trench with a second width Wc, wherein the first width We is larger than the second width Wc, depositing a protection material, lining the first trench, covering the substrate surface and filling the second trench and removing partially the protection material, wherein a lower portion of the second trench remains filled with the protection material.
    Type: Application
    Filed: June 22, 2007
    Publication date: December 25, 2008
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Nicola Vannucci, Hubert Maier
  • Patent number: 7468302
    Abstract: A method of forming a trench type isolation film of a semiconductor device, including the steps of sequentially forming a pad oxide film and a nitride film for a hard mask on a semiconductor substrate in which a cell region and a peri region are defined; patterning the nitride film using an etch process employing a cell array mask; coating a photoresist on the entire structure including the patterned nitride film; patterning the photoresist using a peri ISO mask; sequentially etching the nitride film, the pad oxide film, and the semiconductor substrate using the patterned photoresist as an etch mask, thereby forming first trenches; stripping the photoresist; etching the semiconductor substrate of the cell region and the peri region using the patterned nitride film as an etch mask, thereby forming second trenches in the cell region and third trenches, which are consecutive to the first trenches, in the peri region; and, forming an isolation film within the second and third trenches.
    Type: Grant
    Filed: May 25, 2006
    Date of Patent: December 23, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hyeon Sang Shin
  • Publication number: 20080305640
    Abstract: A method for preparing a trench power transistor comprises the steps of forming a mask layer having a plurality of openings on a semiconductor substrate, removing a portion of the semiconductor substrate under the openings to form a plurality of trenches in the semiconductor substrate in an array manner, coating a photoresist layer covering the surface of the mask layer, patterning the photoresist layer, and removing a portion of the mask layer not covered by the photoresist layer to form a mask block exposing a portion of the semiconductor substrate in the array region.
    Type: Application
    Filed: August 23, 2007
    Publication date: December 11, 2008
    Applicant: PROMOS TECHNOLOGIES INC.
    Inventors: Ta Ching Chang, Meng Hung Chen, Wu Hsiung Chen
  • Publication number: 20080305639
    Abstract: A method and system for forming dual damascene structures in a semiconductor package. In one embodiment, the method includes forming an intermediate dielectric layer on a bottom stop layer; forming an ashing removable dielectric layer on the intermediate dielectric layer; forming a patterned photoresist layer above the ashing removable dielectric layer in the semiconductor structure; and defining an in-situ hard mask in the ashing removable dielectric layer having an opening with a profile selected from the group consisting of a via, a trench, or a combination thereof. The profile of the in-situ mask preferably is capable of being transferred to the intermediate dielectric layer by etching.
    Type: Application
    Filed: June 7, 2007
    Publication date: December 11, 2008
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: Yen Chiu Kuo
  • Patent number: 7456108
    Abstract: A manufacturing method for a semiconductor device, includes: preparing a semiconductor wafer having an active surface and a rear surface; forming a plurality of semiconductor regions, each of which having semiconductor elements formed on the active surface of the semiconductor wafer; forming cutting regions on the outer periphery of the semiconductor regions on the active surface of the semiconductor wafer; forming, on the cutting region, a first groove which does not penetrate the semiconductor wafer; forming, on the rear surface of the semiconductor wafer, a second groove which does not penetrate to the first groove in the position corresponding to the cutting region; decreasing a thickness of the semiconductor wafer, connecting the first groove and the second groove, and dividing each of the semiconductor regions from the semiconductor wafer by executing isotropic etching to the rear surface of the semiconductor wafer; and obtaining a plurality of individual semiconductor devices.
    Type: Grant
    Filed: June 14, 2006
    Date of Patent: November 25, 2008
    Assignee: Seiko Epson Corporation
    Inventor: Motohiko Fukazawa
  • Publication number: 20080286974
    Abstract: An etching solution for a multiple layer of copper and molybdenum includes: about 5% to about 30% by weight of a hydrogen peroxide; about 0.5% to about 5% by weight of an organic acid; about 0.2% to about 5% by weight of a phosphate; about 0.2% to about 5% by weight of a first additive having nitrogen; about 0.2% to about 5% by weight of a second additive having nitrogen; about 0.01% to about 1.0% by weight of a fluoric compound; and de-ionized water making a total amount of the etching solution 100% by weight.
    Type: Application
    Filed: July 22, 2008
    Publication date: November 20, 2008
    Inventors: Seong-Su Kim, Yong-Suk Choi, Gee-Sung Chae, Gyoo-Chul Jo, Oh-Nam Kwon, Kyoung-Mook Lee, Yong-Sup Hwang, Seung-Yong Lee
  • Publication number: 20080286973
    Abstract: A method for forming a fine-pitch pattern on a semiconductor substrate is provided. The method includes patterning the semiconductor substrate to form a plurality of fine lines, forming a thermal oxide layer on the fine lines, polishing the thermal oxide layer to expose a top surface of the fine lines; etching the fine lines using the thermal oxide layer as a mask to expose first portions of the semiconductor substrate, etching a central bottom portion of the thermal oxide layer to expose second portions of the semiconductor substrate, and etching the semiconductor substrate using the etched thermal oxide layer as a mask.
    Type: Application
    Filed: May 16, 2008
    Publication date: November 20, 2008
    Inventor: Eun Soo JEONG
  • Patent number: 7452814
    Abstract: In a polishing method of a GaN substrate according to this invention, first, while supplying a polishing solution 27 containing abrasives 23 and a lubricant 25, onto a platen 101, the GaN substrate is polished using the platen 101 and the polishing solution 27 (first polishing step). Then the GaN substrate is polished using the platen 101 in which abrasives 29 are buried, while supplying a lubricant 31 onto the platen 101 in which the abrasives 29 are buried (second polishing step).
    Type: Grant
    Filed: July 14, 2006
    Date of Patent: November 18, 2008
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventor: Naoki Matsumoto
  • Publication number: 20080277760
    Abstract: An integrated circuit device includes a substrate with a first layer situated on the substrate. The first layer defines a first opening with a cover layer deposited on the first layer and coating a sidewall portion of the first opening. A second layer is situated on the cover layer. The second layer defines a second opening extending through the second layer and through the cover layer to connect the first and second openings.
    Type: Application
    Filed: May 7, 2007
    Publication date: November 13, 2008
    Applicant: QIMONDA AG
    Inventors: Daniel Kohler, Manfred Engelhardt, Peter Baars, Hans-Peter Sperlich
  • Publication number: 20080280448
    Abstract: A method of manufacturing gate oxide layers with different thicknesses is disclosed. The method includes that a substrate is provided first. The substrate has a high voltage device region and a low voltage device region. Then, a high voltage gate oxide layer is formed on the substrate. Afterwards, a first wet etching process is performed to remove a portion of the high voltage gate oxide layer in the low voltage device region. Then, a second wet etching process is performed to remove the remaining high voltage gate oxide layer in the low voltage device region. The etching rate of the second wet etching process is smaller than that of the first wet etching process. Next, a low voltage gate oxide layer is formed on the substrate in the low voltage device region.
    Type: Application
    Filed: May 11, 2007
    Publication date: November 13, 2008
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Shu-Chun Chan, Jung-Ching Chen, Shyan-Yhu Wang
  • Publication number: 20080280447
    Abstract: A system and method of preventing pattern lifting during a trench etch/clean process is disclosed. A first layer comprising a first dip is formed over a first via pattern. A trench resist layer is formed. The trench resist layer is patterned with a trench reticle to produce a second via pattern in the trench resist layer over the first via pattern. A photo resist over the first via pattern is opened during a trench processing. Thus, an additional pattern added on a trench pattern reticle is used to open, i.e., remove resist over, a huge via feature area causing under layer dip.
    Type: Application
    Filed: May 9, 2007
    Publication date: November 13, 2008
    Inventors: Yong Seok Choi, Jeannette Michelle Jacques
  • Patent number: 7446041
    Abstract: A method and apparatus for electrochemically processing metal and barrier materials is provided. In one embodiment, a method for electrochemically processing a substrate includes the steps of establishing an electrically-conductive path through an electrolyte between an exposed layer of barrier material on the substrate and an electrode, pressing the substrate against a processing pad assembly, providing motion between the substrate and pad assembly in contact therewith and electrochemically removing a portion of the exposed layer during a first electrochemical processing step in a barrier processing station.
    Type: Grant
    Filed: June 21, 2006
    Date of Patent: November 4, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Feng Q. Liu, Liang-Yuh Chen, Stan D. Tsai, Yongqi Hu
  • Publication number: 20080265377
    Abstract: A method of forming cavities within a semiconductor device is disclosed. The method comprises depositing an anti-nucleating layer on the interior surface of cavities within an ILD layer of the semiconductor device. This anti-nucleating layer prevents subsequently deposited dielectric layers from forming within the cavities. By preventing the formation of these layers, the capacitance is reduced, thereby resulting in improved semiconductor performance.
    Type: Application
    Filed: April 30, 2007
    Publication date: October 30, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Lawrence A. Clevenger, Matthew E. Colburn, Daniel C. Edelstein, Shom Ponoth, Gregory Breyta
  • Patent number: 7442647
    Abstract: A structure and method for fabricating a top strap in a magnetic random access memory, MRAM, comprising a damascene process forming a trench in a dielectric layer and resulting in a metal conductor clad on three sides by an inverted U-shape trench liner and cap made up of three layers consisting of a stack of a ferromagnetic material sandwiched between two layers of a refractory metal or an alloy of a refractory metal. First the two sidewalls of the trench are formed with the cladding layer, followed by filling the trench with the metal conductor. In preparing the structure for the capping layer, the metal conductor is recessed with an etch that is selective to the metal conductor over the sidewall stack. This preparation may be performed on selected metal filled trenches and blocked on others, such that after a final polishing step, only those metal conductors that received the recess operation will have the capping layer.
    Type: Grant
    Filed: March 5, 2008
    Date of Patent: October 28, 2008
    Assignee: International Business Machines Corporation
    Inventors: Sivananda Kanakasabapathy, Eugene J. O'Sullivan, Michael Christopher Gaidis, Michael Francis Lofaro
  • Patent number: 7435687
    Abstract: The invention provides a plasma processing method and plasma processing device for manufacturing semiconductor devices in which the number of foreign particles being adhered to the wafer is reduced greatly and the yield is improved. In a plasma processing device having a plasma source capable of controlling plasma distribution, the shape of a sheath/bulk boundary above the wafer is controlled to a convexed shape when the plasma is turned on and off. By adding a step of applying a low source power and wafer bias power when the plasma is turned on and off in order to realize an out-high plasma distribution, it is possible to form a sheath that is thicker near the center of the wafer and thinner at the outer circumference portion thereof.
    Type: Grant
    Filed: January 23, 2006
    Date of Patent: October 14, 2008
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kenji Maeda, Tomoyuki Tamura, Hiroyuki Kobayashi, Kenetsu Yokogawa, Tadamitsu Kanekiyo
  • Publication number: 20080233738
    Abstract: A method is provided for fabricating a semiconductor device which includes a first contact point and a second contact point located above the first contact point. A first material layer is conformally deposited over the contact points, and a second material layer is deposited. A photoresist layer is applied and patterned to leave remaining portions. The remaining portions are trimmed to produce trimmed remaining portions which overlie eventual contact holes to the contact points. Using the trimmed remaining portions as an etch mask, exposed portions the second material layer are etched away to leave sacrificial plugs. The sacrificial plugs are etched away to form contact holes that reach portions the first material layers. Another etching step is performed to extend the contact holes to produce final contact holes that extend to the contact points.
    Type: Application
    Filed: March 22, 2007
    Publication date: September 25, 2008
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventors: Sven BEYER, Kamatchi SUBRAMANIAN
  • Patent number: 7427515
    Abstract: A laminated film structure, method of manufacturing, and a preferable electronic element using the structure. The effective polarization into the electric field can be realized in the direction of crystal axis by enhancing the crystal property and alignment property of the ferroelectric substance film formed through epitaxial growth with reference to the plane alignment of semiconductor substrate. After the yttrium stabilized zirconium film and a film of the rock salt structure are sequentially formed with epitaxial growth on a semiconductor substrate, the ferroelectric substance film of simple Perovskite structure is also formed with epitaxial growth. The ferroelectric substance film can improve the crystal property and alignment property thereof by rotating the plane for 45 degrees within the plane for the crystal axis of the yttrium stabilized zirconium.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: September 23, 2008
    Assignee: Fujitsu Limited
    Inventors: Masao Kondo, Kazuaki Kurihara
  • Publication number: 20080217665
    Abstract: A method for making a semiconductor device structure, includes: providing a substrate; forming on the substrate: a first layer below and second layers on a gate with spacers, source and drain regions adjacent to the gate, silicides on the gate and source and drain regions; disposing a stress layer over the structure resulting from the forming step; disposing an insulating layer over the stress layer; removing portions of the insulating layer to expose a top surface of the stress layer; removing the top surface and other portions of the stress layer and portions of the spacers to form a trench, and then disposing a suitable stress material into the trench.
    Type: Application
    Filed: January 10, 2006
    Publication date: September 11, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Xiangdong Chen, Haining S. Yang
  • Patent number: 7416984
    Abstract: A method of producing a MEMS device removes the bottom side of a device wafer after its movable structure is formed. To that end, the method provides the device wafer, which has an initial bottom side. Next, the method forms the movable structure on the device wafer, and then removes substantially the entire initial bottom side of the device wafer. Removal of the entire initial bottom side effectively forms a final bottom side.
    Type: Grant
    Filed: August 9, 2004
    Date of Patent: August 26, 2008
    Assignee: Analog Devices, Inc.
    Inventors: John R. Martin, Manolo G. Mena, Elmer S. Lacsamana, Maurice S. Karpman
  • Patent number: 7410901
    Abstract: A method for fabricating substrate material to include trenches and unreleased beams with submicron dimensions includes etching a first oxide layer on the substrate to define a first set of voids in the first oxide layer to expose the substrate. A second oxide layer is accreted to the first oxide layer to narrow the first set of voids to become a second set of voids on the substrate. A polysilicon layer is deposited over the second oxide layer, the first oxide layer and the substrate. A third set of voids is etched into the polysilicon layer. Further etching widens the third set of voids to define a fourth set of voids to expose the first oxide layer and the substrate. The first oxide layer and the substrate is deeply etched to define beams and trenches in the substrate.
    Type: Grant
    Filed: April 27, 2006
    Date of Patent: August 12, 2008
    Assignee: Honeywell International, Inc.
    Inventor: Jorg Pilchowski
  • Patent number: 7410905
    Abstract: A method for fabricating a thin film pattern on a substrate, includes the steps of: forming a concave part on the substrate that conforms to the thin film pattern; and applying a function liquid into the concave part.
    Type: Grant
    Filed: May 27, 2004
    Date of Patent: August 12, 2008
    Assignee: Seiko Epson Corporation
    Inventors: Toshihiro Ushiyama, Toshimitsu Hirai, Toshiaki Mikoshiba, Hiroshi Kiguchi, Hironori Hasei
  • Publication number: 20080171442
    Abstract: A process for forming an interconnect structure in a low-k dielectric layer includes etching to form trenches in the dielectric layer, removal of photoresist, and further etching to remove damaged portions of the dielectric layer in sidewalls of the trenches. An interconnect structure includes a low-k dielectric layer formed on a substrate, and a conductor embedded in the dielectric layer, the conductor having an edge portion with an inwardly rounded shape.
    Type: Application
    Filed: January 11, 2007
    Publication date: July 17, 2008
    Inventors: Tsang-Jiuh Wu, Syun-Ming Jang, Ming-Chung Liang, Hsin-Yl Tsai
  • Patent number: 7399707
    Abstract: A continuous in situ process of deposition, etching, and deposition is provided for forming a film on a substrate using a plasma process. The etch-back may be performed without separate plasma activation of the etchant gas. The sequence of deposition, etching, and deposition permits features with high aspect ratios to be filled, while the continuity of the process results in improved uniformity.
    Type: Grant
    Filed: January 13, 2005
    Date of Patent: July 15, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Padmanabhan Krishnaraj, Pavel Ionov, Canfeng Lai, Michael Santiago Cox, Shamouil Shamouilian
  • Publication number: 20080160767
    Abstract: A method for forming a fine pattern of a semiconductor device includes forming a first hard mask layer over a semiconductor substrate and a second hard mask layer over the first hard mask layer, selectively etching the second hard mask layer and the first hard mask layer by using a line/space mask as an etching mask to form a second hard mask layer pattern and a first hard mask layer pattern, forming an insulating film filling the second hard mask layer pattern and the first hard mask layer pattern, selectively etching the second hard mask layer and its underlying first hard mask layer pattern by using the insulating film as an etching mask to form a fourth hard mask layer pattern overlying a third hard mask layer pattern, removing the insulating film and the fourth hard mask layer pattern, and patterning the semiconductor substrate by using the third hard mask layer pattern as an etching mask, to form a fine pattern.
    Type: Application
    Filed: June 8, 2007
    Publication date: July 3, 2008
    Inventors: Keun Do Ban, Cheol Kyu Bok
  • Patent number: 7393780
    Abstract: Provided is a process for forming a barrier film to prevent resist poisoning in a semiconductor device by depositing a second nitrogen-free barrier layer on top of a first barrier layer containing nitrogen. A low-k dielectric layer is formed over the second barrier layer. This technique maintains the low electrical leakage characteristics of the first barrier layer and reduces nitrogen poisoning of a photoresist layer subsequently applied.
    Type: Grant
    Filed: May 4, 2006
    Date of Patent: July 1, 2008
    Assignee: LSI Corporation
    Inventors: Hong-Qiang Lu, Wei-Jen Hsia, Wilbur G. Catabay
  • Publication number: 20080153296
    Abstract: Disclosed is a method for the formation of features in a damascene process. According to the method, vias are formed in a dielectric layer and then covered by a layer of high molecular weight polymer. The high molecular weight polymer covers the vias but does not enter the vias. A trench is then etched through the high molecular weight polymer and the dielectric layer. Any remaining high molecular weight polymer is then removed.
    Type: Application
    Filed: December 22, 2006
    Publication date: June 26, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Wai-Kin Li, Wu-Song Huang
  • Publication number: 20080153297
    Abstract: Disclosed is a method of fabricating a semiconductor device, in which the process steps of a photoresist process for forming a metal line are simply reduced, and a process exerting an influence on the contact hole is minimized, so that the electrical characteristics of the semiconductor device can be improved. A reactive ion etching process is repeatedly performed, so that the depth of the trench or the aspect ratio of the contact hole can be adjusted. In addition, the region, in which the lower metal interconnection and the contact hole make contact with each other, can be cleaned.
    Type: Application
    Filed: October 30, 2007
    Publication date: June 26, 2008
    Inventor: KWANG JEAN KIM
  • Patent number: 7390749
    Abstract: A method for providing features in an etch layer with a memory region and a peripheral region is provided. A memory patterned mask is formed over a first sacrificial layer. A first set of sacrificial layer features is etched into the first sacrificial layer and a second sacrificial layer. Features of the first set of sacrificial layer features are filled with filler material. The first sacrificial layer is removed. The spaces are shrunk with a shrink sidewall deposition. A second set of sacrificial layer features is etched into the second sacrificial layer. The filler material and shrink sidewall deposition are removed. A peripheral patterned mask is formed over the memory region and peripheral region. The second sacrificial layer is etched through the peripheral patterned mask. The peripheral patterned mask is removed. Features are etched into the etch layer from the second sacrificial layer.
    Type: Grant
    Filed: November 9, 2006
    Date of Patent: June 24, 2008
    Assignee: Lam Research Corporation
    Inventors: Ji Soo Kim, Sangheon Lee, Daehan Choi, S. M. Reza Sadjadi
  • Patent number: 7391342
    Abstract: A keypad encoding circuit contains a voltage dividing network and an integrated circuit. The voltage dividing network includes a string of resistors that generates an encoding signal voltage. The integrated circuit converts the encoding signal voltage into a digital value indicative of which of the keys has been pressed. The cost of the voltage dividing network is reduced by forming the resistors from a layer of conductive carbon and avoiding the cost of providing discrete resistors. Each resistor has the same resistance even where the dimensions of the conductive carbon patches that form the resistors vary. Providing the resistors does not involve additional manufacturing cost because the resistors are made in the same step as are the landing pads of the voltage dividing circuit. Manufacturing costs associated with etched printed circuit board layers are avoided because inexpensive printed layers are used to realize the required traces and resistors.
    Type: Grant
    Filed: February 5, 2003
    Date of Patent: June 24, 2008
    Assignee: ZiLOG, Inc.
    Inventor: Daniel SauFu Mui
  • Publication number: 20080128763
    Abstract: A transistor comprises a gate (110) comprising a gate electrode (111) and a gate dielectric (112), an electrically insulating cap (120, 720) over the gate, and a source/drain contact (130) adjacent to the gate. The electrically insulating cap prevents electrical contact between the gate and the source/drain contact. In one embodiment, the electrically insulating cap is formed in a trench (160, 660) that is self-aligned to the gate and that is created by the removal of a sacrificial cap using an aqueous solution comprising a carboxylic acid and a corrosion inhibitor.
    Type: Application
    Filed: November 30, 2006
    Publication date: June 5, 2008
    Inventors: Willy Rachmady, Vijay Ramachandrarao, Oleg Golonzka, Arnel M. Fajardo
  • Patent number: 7381652
    Abstract: A method of manufacturing a flash memory device which an etch-prevention layer, first and second interlayer insulating layers, and first, second and third hard mask layers are sequentially formed on a semiconductor substrate. The third hard mask layer is etched to expose a portion of a region on the second hard mask layer. A photoresist pattern of a line shape is formed on the entire surface such that the photoresist pattern is exposed to be narrower than the region through which the second hard mask layer is exposed. The second hard mask layer is etched using the photoresist pattern as a mask. The first hard mask layer is etched using the photoresist pattern as a mask, and the second and first interlayer insulating layers are then etched using the remaining third and second hard mask layers as masks, thus forming a drain contact hole having a square shape.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: June 3, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sung Hoon Lee
  • Patent number: 7375018
    Abstract: Etching is performed on an insulating layer 23 and a conductive layer 32 with a photoresist 41 as the mask, to form an opening 51 in the conductive layer 32. After removing the photoresist 41, another insulating layer 24 is formed all over, which is etched back so as to expose a surface of a conductive layer 31, to thereby cover the inner wall of the opening 51. Then etching is performed on the conductive layer 31 with the latter insulating layer 24 as the mask, so as to form another opening 52 in the conductive layer 31. Then still another insulating layer 25 is formed all over, which is then etched back so as to expose a surface of the conductive layer 32, to thereby fill the opening 52 with the last formed insulating layer 25.
    Type: Grant
    Filed: March 1, 2006
    Date of Patent: May 20, 2008
    Assignee: NEC Electronics Corporation
    Inventor: Hidetoshi Nakata
  • Publication number: 20080113515
    Abstract: A method of forming a semiconductor device is provided. The method includes preparing a semiconductor substrate to include a cell region and a peripheral region and forming a first mask layer on the semiconductor substrate. First hard mask patterns that are configured to expose the first mask layer are formed on the first mask layer in the cell region. A second mask layer that is configured to conformably cover the first hard mask patterns is formed. A second hard mask pattern is formed between the first hard mask patterns, wherein the second hard mask pattern is configured to contact a lateral surface of the second mask layer. The second mask layer interposed between the first hard mask patterns and the second hard mask pattern is removed. A plurality of trenches are etched in the semiconductor substrate of the cell region using the first hard mask patterns and the second hard mask pattern as a mask.
    Type: Application
    Filed: October 18, 2007
    Publication date: May 15, 2008
    Inventors: Hyun-Chul Kim, Sung-Il Cho, Eun-Young Kang, Yong-Hyun Kwon, Jae-Seung Hwang
  • Publication number: 20080113511
    Abstract: A double pattern method of forming a plurality of contact holes in a material layer formed on a substrate is disclosed. The method forms a parallel plurality of first hard mask patterns separated by a first pitch in a first direction on the material layer, a self-aligned parallel plurality of second hard mask patterns interleaved with the first hard mask patterns and separated from the first hard mask patterns by a buffer layer to form composite mask patterns, and a plurality of upper mask patterns in a second direction intersecting the first direction to mask selected portions of the buffer layer in conjunction with the composite mask patterns. The method then etches non-selected portions of the buffer layer using the composite hard mask patterns and the upper mask patterns as an etch mask to form a plurality of hard mask holes exposing selected portions of the material layer, and then etches the selected portions of the material layer to form the plurality of contact holes.
    Type: Application
    Filed: March 30, 2007
    Publication date: May 15, 2008
    Inventors: Sang-joon Park, Yong-hyun Kwon, Jun Seo, Sung-il Cho, Chang-jin Kang, Jae-kyu Ha
  • Publication number: 20080102639
    Abstract: A method for fabricating a semiconductor device includes forming a hard mask pattern over a substrate, forming a first recess in the substrate and a passivation layer on sidewalls of the first recess using the hard mask pattern as an etch barrier, and forming a second recess by etching a bottom portion of the first recess using the passivation layer as an etch barrier, wherein a width of the second recess is greater than that of the first recess.
    Type: Application
    Filed: October 30, 2007
    Publication date: May 1, 2008
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Yong-Tae CHO, Suk-Ki KIM, Sang-Hoon CHO
  • Publication number: 20080096391
    Abstract: A method for fabricating a semiconductor device having fine contact holes is exemplary disclosed. The method includes forming an isolation layer defining active regions on a semiconductor substrate. An interlayer dielectric layer is formed on the semiconductor substrate having the isolation layer. First molding patterns are formed on the interlayer dielectric layer. Second molding patterns positioned between the first molding patterns and spaced apart therefrom are also formed. A mask pattern surrounding sidewalls of the first and second molding patterns is formed. Openings are formed by removing the first and second molding patterns. Contact holes are formed by etching the interlayer dielectric layer using the mask pattern as an etching mask.
    Type: Application
    Filed: October 12, 2007
    Publication date: April 24, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sung-Hyun KWON, Jae-Hwang SIM, Dong-Hwa KWAK, Joo-Young KIM
  • Patent number: 7354523
    Abstract: A method for sidewall etching includes providing a substrate having a trench defined therein, with the trench having fill material disposed over a bottom thereof, along a sidewall thereof, and at the trench opening. The fill material along the sidewall of the trench and at the trench opening is removed without removing the fill material disposed over the bottom of the trench. The fill material along the sidewall and at the trench opening may be removed without removing the fill material disposed over the bottom of the trench by inhibiting a reaction between an etchant and the fill material over the bottom of the trench. The reaction between the etchant and the fill material may be inhibited by causing an air bubble to form at the bottom of the trench. The air bubble may be formed by inverting the substrate, and immersing the inverted substrate in an etchant.
    Type: Grant
    Filed: June 17, 2004
    Date of Patent: April 8, 2008
    Assignee: Macronix International Co., Ltd.
    Inventor: Yuh-Turng Liu
  • Publication number: 20080076257
    Abstract: The present invention provides a method for manufacturing a semiconductor device which can perform good processing of line edge roughness, comprising steps of: forming a processed film on a substrate; forming a bottom layer comprising an organic film on the processed film; forming a top layer comprising a silicon component on the bottom layer; patterning the top layer; selectively removing a residue on a surface of the bottom layer without etching the bottom layer after the top layer patterning step; etching the bottom layer with the top layer as a mask; and etching the processed film with the bottom layer as a mask after the bottom layer etching step.
    Type: Application
    Filed: September 18, 2007
    Publication date: March 27, 2008
    Inventor: Mitsunari Sukekawa
  • Publication number: 20080070414
    Abstract: A bias correction level can be defined with an improved efficiency when a transfer pattern of a hole is formed, so that the hole can be stably formed as originally designed. When a hole pattern is formed over a substrate, correction reference holes 103 existing in a region 113, which is capable of affecting a formation of a correction target hole 101, is extracted, and a bias correction level employed in the formation of the correction target hole 101 is defined, in accordance with a two-dimensional arrangement of the extracted correction reference holes 103.
    Type: Application
    Filed: September 18, 2007
    Publication date: March 20, 2008
    Applicant: NEC ELECTRONICS CORPORATION
    Inventor: Hitoshi SHIRAISHI
  • Publication number: 20080070402
    Abstract: A block film is formed on a region which includes a region of an insulating layer where a first hole is to be formed, and in which no second hole is to be formed, and a resist film having openings for forming the first and second holes is formed on the block film and insulating layer. Etching is performed by using the resist film as a mask, thereby forming the first hole in the block film and insulating layer, and the second hole in the insulating layer. The depth of the first hole from the upper surface of the insulating layer is smaller than that of the second hole, so the first hole does not reach the semiconductor substrate.
    Type: Application
    Filed: September 18, 2007
    Publication date: March 20, 2008
    Inventors: Toshiya Kotani, Hiroko Nakamura, Koji Hashimoto
  • Patent number: 7344995
    Abstract: The present invention discloses a method for preparing a structure with high aspect ratio, which can be a trench or a conductor. A first mask is formed on a substrate, and a first etching process is performed to remove the substrate uncovered by the first mask to form at least one concavity. A second mask is formed on the surface of the prepared structure, a second etching process is then performed to remove the second mask on the concavity, and a third etching process is performed subsequently to extend the depth of the concavity into the substrate. To prepare a conductor with high aspect ratio in the substrate, the first mask and the second mask are preferably made of dielectric material or metal. In addition, to prepare a trench with high aspect ratio in a silicon substrate, the first mask and the second mask are preferably made of dielectric material.
    Type: Grant
    Filed: March 14, 2005
    Date of Patent: March 18, 2008
    Assignee: Promos Technologies, Inc.
    Inventors: Hung Yueh Lu, Hong Long Chang, Yung Kai Lee, Chih Hao Chang
  • Patent number: 7344974
    Abstract: A method for forming a metallization contact in a semiconductor device includes the steps of: (a) forming an insulating layer on a semiconductor substrate including an active device region; (b) forming a contact hole to expose a portion of the active device region by etching a portion of the insulating layer; (c) forming a CVD TiN layer on the insulating layer and inside the contact hole; (d) forming a PVD TiN layer on the CVD TiN layer using ionized metal plasma sputtering; and (e) forming a metal layer on the PVD TiN layer.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: March 18, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jung Joo Kim
  • Publication number: 20080064214
    Abstract: In the fabrication of an integrated circuit where a porous silicon oxide layer is formed over a surface of a semiconductor substrate to electrically isolate two conductive metal layers, a via through the porous silicon oxide layer has an opening etched through the porous silicon oxide layer, a self-assembled monolayer adhering to an etched surface of the opening and to exposed pores, and a conductive material filling the opening.
    Type: Application
    Filed: September 13, 2006
    Publication date: March 13, 2008
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Taejoon Han, Sang-Jun Cho, Sung-Jin Cho, Tom Choi, Prabhakara Gopaladasu, Sean Kang