Plural Coating Steps Patents (Class 438/702)
-
Publication number: 20130040463Abstract: A mask layer is formed by: a step in which a first photoresist layer is formed, exposed, and developed on a substrate, thereby forming a first photoresist pattern; a step in which the first photoresist pattern is made insoluble; a step in which a second photoresist layer is formed, exposed, and developed on top of the first photoresist layer, thereby forming a second photoresist pattern that intersects the first photoresist pattern; a step in which the second photoresist pattern is made insoluble; and a step in which a third photoresist layer is formed, exposed, and developed on top of the first and second photoresist patterns, thereby forming a third photoresist pattern.Type: ApplicationFiled: February 17, 2011Publication date: February 14, 2013Applicant: TOKYO ELECTRON LIMITEDInventor: Kenichi Oyama
-
Patent number: 8372513Abstract: The subject of the invention is a transparent substrate (6) having at least one antireflection coating, made from a film (A) comprising multiple thin layers of alternately high and low refractive indexes. The multilayer film comprises, in succession, a high-index first layer (1), having a refractive index n1 of between 1.8 and 2.3 and a geometrical thickness e1 of between 5 and 50 nm, a low-index second layer (2), having a refractive index n2 of between 1.30 and 1.70 and a geometrical thickness e2 of between 5 and 50 nm, a high-index third layer (3), having a refractive index n3 of between 1.8 and 2.3 and a geometrical thickness e3 of at least 100 nm, and a low-index fourth layer (4), having a refractive index n4 of between 1.30 and 1.70 and a geometrical thickness e4 of at least 80 nm. This antireflection coating can be used in solar modules.Type: GrantFiled: September 29, 2010Date of Patent: February 12, 2013Assignee: Saint-Gobain Glass FranceInventors: Charles Anderson, Ulf Blieske
-
Patent number: 8372751Abstract: A method for fabricating a semiconductor device includes etching a substrate to form a body separated by a trench, forming liner layers that cover sidewalls of the body, forming a sacrificial layer that fills the trench and exposes an upper sidewall of each liner layer, forming a hard mask pattern that covers a first one of the liner layers having the exposed upper sidewalls, forming a barrier layer to be selectively grown over the exposed upper sidewalls of a second one of the liner layers, removing the hard mask pattern, removing a part of the sacrificial layer to expose a lower sidewall of a first one of the liner layers, and removing the lower sidewall of the first one of the liner layers to form a side contact.Type: GrantFiled: September 20, 2011Date of Patent: February 12, 2013Assignee: Hynix Semiconductor Inc.Inventor: Sung-Eun Park
-
Publication number: 20130034964Abstract: The present invention discloses a method of manufacturing a semiconductor device. In order to form a trench with a smaller width, patterns of various monomers are formed by utilizing self-assembly characteristics of a block copolymer comprising various monomers. A metal or metal nitride is deposited on a surface of the block copolymer, the metal or metallic nitride selectively depositing due to a preferential chemical affinity between various monomers and the metal or metal nitride. After reaching a certain thickness, the metal or metal nitride layer begins to grow laterally. Deposition can be stopped by controlling deposition time so that the metal or metal nitride layer grows laterally but does not completely cover the surface of the block copolymer. Etching is then conducted using the metal or metal nitride layer as a mask to obtain a trench with a very small width.Type: ApplicationFiled: December 12, 2011Publication date: February 7, 2013Applicant: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATIONInventors: HAIYANG ZHANG, MINDA HU
-
Patent number: 8361904Abstract: A semiconductor device and method are disclosed in which an interlayer insulating layer is patterned using multiple overlaying masks to define the geometry of contact plugs and corresponding wiring layers separated by fine pitches.Type: GrantFiled: December 13, 2010Date of Patent: January 29, 2013Assignee: Samsung Electronics Co., Ltd.Inventors: Dong-seok Lee, Seung-pil Chung, Ji-young Lee
-
Publication number: 20130023122Abstract: Methods of multiple patterning of low-k dielectric films are described. For example, a method includes forming and patterning a first mask layer above a low-k dielectric layer, the low-k dielectric layer disposed above a substrate. A second mask layer is formed and patterned above the first mask layer. A pattern of the second mask layer is transferred at least partially into the low-k dielectric layer by modifying first exposed portions of the low-k dielectric layer with a first plasma process and removing the modified portions of the low-k dielectric layer. Subsequently, a pattern of the first mask layer is transferred at least partially into the low-k dielectric layer by modifying second exposed portions of the low-k dielectric layer with a second plasma process and removing the modified portions of the low-k dielectric layer.Type: ApplicationFiled: July 20, 2011Publication date: January 24, 2013Inventors: Srinivas D. Nemani, Yifeng Zhou, Dmitry Lubomirsky, Ellie Yieh
-
Publication number: 20130023123Abstract: Methods of removing photoresists from low-k dielectric films are described. For example, a method includes forming and patterning a photoresist layer above a low-k dielectric layer, the low-k dielectric layer disposed above a substrate. Trenches are formed in the exposed portions of the low-k dielectric layer. A plurality of process cycles is performed to remove the photoresist layer. Each process cycle includes forming a silicon source layer on surfaces of the trenches of the low-k dielectric layer, and exposing the photoresist layer to an oxygen source to form an Si—O-containing layer on the surfaces of the trenches of the low-k dielectric layer and to remove at least a portion of the photoresist layer.Type: ApplicationFiled: July 20, 2011Publication date: January 24, 2013Inventors: Yifeng Zhou, Srinivas D. Nemani, Khoi Doan, Jeremiah T. P. Pender
-
Publication number: 20130023118Abstract: There is provided a method for forming a pattern comprising, forming a first layer on an underlying layer including a substrate, forming a first mask pattern including a first opening pattern on the first layer, and forming a second mask pattern including a second opening pattern on the first mask pattern, wherein the second opening pattern includes a first region overlapping the first opening pattern and a second region not overlapping the first opening pattern, and etching is performed using the second mask pattern such that a third opening pattern corresponding to the first region and exposing an upper surface of the underlying layer is formed in the first layer, and a fourth opening pattern corresponding to the second region is formed in the first mask pattern.Type: ApplicationFiled: June 11, 2012Publication date: January 24, 2013Inventors: Soo-Yeon Jeong, Dong-Kwon Kim, Do-Hyoung Kim, Myeong-Cheol Kim
-
Patent number: 8354741Abstract: Provided are a lead frame, a semiconductor package, and a method of manufacturing the lead frame and the semiconductor package. The lead frame includes: a die pad on which a semiconductor chip is installable; a plurality of lead patterns formed around a circumference of the die pad; an insulating organic material filling etching spaces interposed between the die pad and the lead patterns and structurally supporting the die pad and the lead patterns; and a pre-plating layer formed on both upper and lower surfaces of the die pad and the lead patterns.Type: GrantFiled: January 5, 2012Date of Patent: January 15, 2013Assignee: Samsung Techwin Co., Ltd.Inventors: Sung-il Kang, Chang-han Shim
-
Publication number: 20130012026Abstract: A method for fabricating recessed source and recessed drain regions of aggressively scaled CMOS devices. In this method a processing sequence of plasma etch, deposition, followed by plasma etch is used to controllably form recessed regions of the source and the drain in the channel of a thin body, much less than 40 nm, device to enable subsequent epitaxial growth of SiGe, SiC, or other materials, and a consequent increase in the device and ring oscillator performance. A Field Effect Transistor device is also provided, which includes: a buried oxide layer; a silicon layer above the buried oxide layer; an isotropically recessed source region; an isotropically recessed drain region; and a gate stack which includes a gate dielectric, a conductive material, and a spacer.Type: ApplicationFiled: September 12, 2012Publication date: January 10, 2013Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATIONInventors: Nicholas C. Fuller, Steve Koester, Isaac Lauer, Ying Zhang
-
Patent number: 8349717Abstract: A semiconductor device, such as a LDMOS device, comprising: a semiconductor substrate; a drain region in the semiconductor substrate; a source region in the semiconductor substrate laterally spaced from the drain region; and a drift region in the semiconductor substrate between the drain region and the source region. A gate is operatively coupled to the source region and is located offset from the drain region on a side of the source region opposite from the drain region. When the device is in an on state, current tends to flow deeper into the drift region to the offset gate, rather than near the device surface. The drift region preferably includes at least first and second stacked JFETs.Type: GrantFiled: February 22, 2008Date of Patent: January 8, 2013Assignee: Fairchild Semiconductor CorporationInventor: Jun Cai
-
Publication number: 20130005115Abstract: A method of forming a series of spaced trenches into a substrate includes forming a plurality of spaced lines over a substrate. Anisotropically etched sidewall spacers are formed on opposing sides of the spaced lines. Individual of the lines have greater maximum width than minimum width of space between immediately adjacent of the spacers between immediately adjacent of the lines. The spaced lines are removed to form a series of alternating first and second mask openings between the spacers. The first mask openings are located where the spaced lines were located and are wider than the second mask openings. Alternating first and second trenches are simultaneously etched into the substrate through the alternating first and second mask openings, respectively, to form the first trenches to be wider and deeper within the substrate than are the second trenches. Other implementations and embodiments are disclosed.Type: ApplicationFiled: September 12, 2012Publication date: January 3, 2013Applicant: Micron Technology, Inc.Inventors: Neal L. Davis, Richard Housley, Ranjan Khurana
-
Publication number: 20130005151Abstract: In an exemplary method for forming contact holes, a substrate overlaid with an etching stop layer and an interlayer dielectric layer in that order is firstly provided. A first etching process then is performed to form at least a first contact opening in the interlayer dielectric layer. A first carbon-containing dielectric layer subsequently is formed overlying the interlayer dielectric layer and filling into the first contact opening. After that, a first anti-reflective layer and a first patterned photo resist layer are sequentially formed in that order overlying the carbon-containing dielectric layer. Next, a second etching process is performed by using the first patterned photo resist layer as an etching mask to form at least a second contact opening in the interlayer dielectric layer.Type: ApplicationFiled: July 1, 2011Publication date: January 3, 2013Applicant: UNITED MICROELECTRONICS CORP.Inventors: Chieh-Te CHEN, Yi-Po Lin, Feng-Yih Chang, Chih-Wen Feng, Shang-Yuan Tsai
-
Publication number: 20130005152Abstract: Embodiments described herein generally relate to a substrate processing system and related methods, such as an etching/deposition method. The method comprises (A) depositing a protective layer on a first layer disposed on a substrate in an etch reactor, wherein a plasma source power of 4,500 Watts or greater is applied while depositing the protective layer, (B) etching the protective layer in the etch reactor, wherein the plasma source power of 4,500 Watts or greater is applied while etching the protective layer, and (C) etching the first layer in the etch reactor, wherein the plasma source power of 4,500 Watts or greater is applied while etching the first layer, wherein a time for the depositing a protective layer (A) comprises less than 30% of a total cycle time for the depositing a protective layer (A), the etching the protective layer (B), and the etching the first layer (C).Type: ApplicationFiled: May 25, 2012Publication date: January 3, 2013Applicant: Applied Materials, Inc.Inventors: JIVKO DINEV, Saravjeet Singh, Khalid M. Sirajuddin, Tong Liu, Puneet Bajaj, Rohit Mishra, Sonal A. Srivastava, Madhava Rao Yalamanchili, Ajay Kumar
-
Patent number: 8343369Abstract: A method of producing a MEMS device removes the bottom side of a device wafer after its movable structure is formed. To that end, the method provides the device wafer, which has an initial bottom side. Next, the method forms the movable structure on the device wafer, and then removes substantially the entire initial bottom side of the device wafer. Removal of the entire initial bottom side effectively forms a final bottom side.Type: GrantFiled: July 14, 2011Date of Patent: January 1, 2013Assignee: Analog Devices, Inc.Inventors: Manolo G. Mena, Elmer S. Lacsamana, William A. Webster, Lawrence E. Felton
-
Publication number: 20120326221Abstract: Methods of fabricating multi-tiered semiconductor devices are described, along with apparatus and systems that include them. In one such method, a first dielectric is formed, and a second dielectric is formed in contact with the first dielectric. A channel is formed through the first dielectric and the second dielectric with a first etch chemistry, a void is formed in the first dielectric with a second etch chemistry, and a device is formed at least partially in the void in the first dielectric. Additional embodiments are also described.Type: ApplicationFiled: June 21, 2011Publication date: December 27, 2012Inventor: Nishant Sinha
-
Publication number: 20120329224Abstract: A method of forming a fine pattern and a method of manufacturing a semiconductor device. The method of forming a fine pattern includes: forming a hard mask layer on a to-be-etched layer; forming on the hard mask layer a first mask pattern including a plurality of elongated openings that are arranged at predetermined intervals in a first direction and a second direction different from the first direction and are offset from each other in adjacent columns in the second direction; forming on the hard mask layer a second mask pattern including at least two linear openings that each pass through the elongated openings in the adjacent columns and extend in the first direction; forming a hard mask pattern by etching the hard mask layer by using the second mask pattern as an etch mask; and etching the to-be-etched layer by using the hard mask pattern.Type: ApplicationFiled: June 13, 2012Publication date: December 27, 2012Applicant: SAMSUNG ELECTRONICS CO., LTD.Inventors: Yoo-chul Kong, Jin-kwan Lee, Gyung-jin Min, Seong-soo Lee
-
Patent number: 8338305Abstract: The present disclosure provides a method includes forming a multi-fin device. The method includes forming a patterned mask layer on a semiconductor substrate. The patterned mask layer includes a first opening having a first width W1 and a second opening having a second width W2 less than the first width. The patterned mask layer defines a multi-fin device region and an inter-device region, wherein the inter-device region is aligned with the first opening; and the multi-fin device region includes at least one intra-device region being aligned with the second opening.Type: GrantFiled: October 19, 2010Date of Patent: December 25, 2012Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Hsin-Chih Chen, Tsung-Lin Lee, Feng Yuan
-
Publication number: 20120322246Abstract: A method for manufacturing the integrated circuit device including, providing a substrate having a first region and a second region. Forming a dielectric layer over the substrate in the first region and the second region. Forming a sacrificial gate layer over the dielectric layer. Patterning the sacrificial gate layer and the dielectric layer to form gate stacks in the first and second regions. Forming an ILD layer within the gate stacks in the first and second regions. Removing the sacrificial gate layer in the first and second regions. Forming a protector over the dielectric layer in the first region; and thereafter removing the dielectric layer in the second region.Type: ApplicationFiled: June 17, 2011Publication date: December 20, 2012Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.Inventors: Sheng-Hsiung WANG, Hsien-Chin LIN, Yuan-Ching PENG, Chia-Pin LIN, Fan-Yi HSU, Ya-Jou HSIEH
-
Publication number: 20120322267Abstract: In various embodiments, a method of patterning a substrate may include: forming an auxiliary layer on or above a substrate and forming a plasma etch mask layer on or above the auxiliary layer, wherein the auxiliary layer is configured such that it may be removed from the substrate more easily than the plasma etch mask layer; patterning the plasma etch mask layer and the auxiliary layer such that at least a portion of the substrate is exposed; patterning the substrate by means of a plasma etch process using the patterned plasma etch mask layer as a plasma etch mask.Type: ApplicationFiled: June 20, 2011Publication date: December 20, 2012Applicant: INFINEON TECHNOLOGIES AGInventor: Manfred Engelhardt
-
Publication number: 20120322224Abstract: In a method of fabricating a semiconductor device, a target layer and a first material layer are sequentially formed on a substrate. A plurality of second material layer patterns are formed on the first material layer, the second material layer patterns extending in a first horizontal direction. A plurality of hardmask patterns extending in a second horizontal direction are formed on the plurality of second material layer patterns and the first material layer, wherein the second horizontal direction is different from the first horizontal direction. A first material layer pattern is formed by etching the first material layer using the plurality of hardmask patterns and the plurality of second material layer patterns as etch masks. A target layer pattern with a plurality of holes is formed by etching the target layer using the first material layer pattern as an etch mask.Type: ApplicationFiled: May 16, 2012Publication date: December 20, 2012Applicant: SAMSUNG ELECTRONICS CO., LTD.Inventors: Soo-yeon Jeong, In-ho Kim, Hyung-yong Kim, Myeong-cheol Kim
-
Patent number: 8334190Abstract: A one-step CMP process for polishing three or more layer film stacks on a wafer having a multilayer film stack thereon including a silicon nitride (SiNx) layer on its semiconductor surface, and a silicon oxide layer on the SiNx layer, wherein trench access vias extend through the silicon oxide layer and SiNx layer to trenches formed into the semiconductor surface, and wherein a polysilicon layer fills the trench access vias, fills the trenches, and is on the silicon oxide layer. CMP polishes the multilayer film stack with a slurry including slurry particles including at least one of silica and ceria. The CMP provides a removal rate (RR) for the polysilicon layer > a RR for the silicon oxide layer > a RR for the SiNx layer. The CMP process is continued to remove the polysilicon layer, silicon oxide layer and a portion of the SiNx layer to stop on the SiNx layer. Optical endpointing during CMP can provide a predetermined remaining thickness range for the SiNx layer.Type: GrantFiled: May 7, 2010Date of Patent: December 18, 2012Assignee: Texas Instruments IncorporatedInventors: Eugene C. Davis, Binghua Hu, Sopa Chevacharoenkul, Prakash D. Dev
-
Patent number: 8329531Abstract: In sophisticated semiconductor devices, the initial strain component of a globally strained semiconductor layer may be substantially preserved during the formation of shallow trench isolations by using a rigid mask material, which may efficiently avoid or reduce a deformation of the semiconductor islands upon patterning the isolation trenches. Consequently, selected regions with high internal stress levels may be provided, irrespective of the height-to-length aspect ratio, which may limit the application of globally strained semiconductor layers in conventional approaches. Furthermore, in some illustrative embodiments, active regions of substantially relaxed strain state or of inverse strain type may be provided in addition to the highly strained active regions, thereby enabling an efficient process strategy for forming complementary transistors.Type: GrantFiled: November 2, 2010Date of Patent: December 11, 2012Assignee: GLOBALFOUNDRIES Inc.Inventors: Jan Hoentschel, Sven Beyer, Uwe Griebenow, Thilo Scheiper
-
Patent number: 8329512Abstract: A method of making a device includes forming a first photoresist layer over a sacrificial layer, patterning the first photoresist layer to form first photoresist features, rendering the first photoresist features insoluble to a solvent, forming a second photoresist layer over the first photoresist features, patterning the second photoresist layer to form second photoresist features, forming a spacer layer over the first and second photoresist features, etching the spacer layer to form spacer features and to expose the first and second photoresist features, forming third photoresist features between the spacer features, removing the spacer features, and patterning the sacrificial layer using the first, second and third photoresist features as a mask to form sacrificial features.Type: GrantFiled: May 3, 2012Date of Patent: December 11, 2012Assignee: SanDisk 3D LLCInventors: Natalie Nguyen, Paul Wai Kie Poon, Steven J. Radigan, Michael Konevecki, Yung-Tin Chen, Raghuveer Makala, Vance Dunton
-
Publication number: 20120309198Abstract: A method for etching features into an etch layer in a plasma processing chamber is provided. An optically timed deposition phase is provided comprising providing a flow of deposition phase gas, detecting the presence of deposition gas within the plasma processing chamber, providing RF energy for forming a plasma from the deposition phase gas in the plasma processing chamber, and stopping the flow of the deposition gas into the plasma processing chamber. An optically timed etching phase is provided, comprising providing a flow of an etch gas, detecting the presence of the etch gas within the plasma processing chamber, providing RF energy for forming a plasma from the etch gas in the plasma processing chamber, and stopping the flow of the etch gas into the plasma processing chamber.Type: ApplicationFiled: June 6, 2011Publication date: December 6, 2012Applicant: LAM RESEARCH CORPORATIONInventors: Qing Xu, Camelia Rusu, Brian K. McMillin, Alexander M. Paterson
-
Publication number: 20120309200Abstract: A method for fabricating a bottom oxide layer in a trench (102) is disclosed. The method comprises forming the trench (102) in a semiconductor substrate (100), depositing an oxide layer to partially fill a field area (104) and the trench (102), wherein said oxide layer has oxide overhang portions (106) and removing the oxide overhang portions (106) of the deposited oxide layer. Thereafter, the method comprises forming a bottom anti-reflective coating (BARC) layer (108) to cover the oxide layer in the field area (104) and the trench (102), removing the BARC layer (110) from the field area (104), while retaining a predetermined thickness of the BARC layer (112) in the trench (102), removing the oxide layer from the field area (104) and removing the BARC layer and oxide layer in the trench (102) to obtain a predetermined thickness of the bottom oxide layer (114).Type: ApplicationFiled: May 22, 2012Publication date: December 6, 2012Inventors: Charlie Tay, Venkatesh Madhaven, Arjun K. Kantimahanti
-
Publication number: 20120309199Abstract: A manufacturing method for a dual damascene structure first includes providing a substrate having at least a dielectric layer, a first hard mask layer, a first cap layer, a second hard mask layer, and a second cap layer sequentially formed thereon, performing a first double patterning process to form a plurality of first trench openings and second trench openings in the second cap layer and the second hard mask, and the first layer being exposed in bottoms of the first trench openings and the second trench openings, performing a second double patterning process to form a plurality of first via openings and second via openings in the first cap layer and the first hard mask layer, and transferring the first trench openings, the second trench openings, the first via openings, and the second via openings to the dielectric layer to form a plurality of dual damascene openings.Type: ApplicationFiled: August 26, 2011Publication date: December 6, 2012Inventors: Duan Quan Liao, Yikun Chen, Xiao Zhong Zhu, Ching-Hwa Tey, Chen-Hua Tsai, Yu-Tsung Lai
-
Publication number: 20120309196Abstract: A manufacturing method for a dual damascene structure includes providing a substrate having a dielectric layer, a first hard mask layer and a second hard mask layer sequentially formed thereon, performing a first double patterning process to sequentially form a plurality of first trench openings and a plurality of second trench openings in the second hard mask layer, performing a second double patterning process to sequentially form a plurality of first via openings and a plurality of second via openings in the fist hard mask layer, and transferring the first trench openings, the second trench openings, the first via openings, and the second via openings to the dielectric layer to form a plurality of dual damascene openings.Type: ApplicationFiled: June 1, 2011Publication date: December 6, 2012Inventors: SHOUGANG MI, Duan Quan Liao
-
Publication number: 20120309201Abstract: A method for forming a feature in an etch layer is provided. A photoresist layer is formed over the etch layer. The photoresist layer is patterned to form photoresist features with photoresist sidewalls. A control layer is formed over the photoresist layer and bottoms of the photoresist features. A conformal layer is deposited over the sidewalls of the photoresist features and control layer to reduce the critical dimensions of the photoresist features. Openings in the control layer are opened with a control layer breakthrough chemistry. Features are etched into the etch layer with an etch chemistry, which is different from the control layer break through chemistry, wherein the control layer is more etch resistant to the etch with the etch chemistry than the conformal layer.Type: ApplicationFiled: August 15, 2012Publication date: December 6, 2012Applicant: LAM RESEARCH CORPORATIONInventors: Sangheon Lee, Dae-Han Choi, Jisoo Kim, Peter Cirigliano, Zhisong Huang, Robert Charatan, S.M. Reza Sadjadi
-
Publication number: 20120309197Abstract: A method of forming a semiconductor structure includes forming an opening in a substrate. A dielectric layer is formed and substantially conformal to the opening. A sacrificial structure is formed within the opening, covering a portion of the dielectric layer. A portion of the dielectric layer is removed by using the sacrificial structure as an etch mask layer. The sacrificial structure is removed.Type: ApplicationFiled: June 2, 2011Publication date: December 6, 2012Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.Inventors: Hsing-Fei CHOU, Chia-Hua CHU, Jieh-Jang CHEN, Feng-Jia SHIU, Hung Chang HSIEH
-
Publication number: 20120302068Abstract: A method for manufacturing a semiconductor integrated circuit includes providing a substrate having at least a metal hard mask formed thereon. Subsequently a patterning step is performed to the metal hard mask to form a patterned metal hard mask and followed by performing a H2O plasma treatment to the patterned metal hard mask.Type: ApplicationFiled: May 24, 2011Publication date: November 29, 2012Inventor: Chun-Lung Chen
-
Patent number: 8319317Abstract: Problems with a conventional mesa type semiconductor device, which are deterioration in a withstand voltage and occurrence of a leakage current caused by reduced thickness of an insulation film on an inner wall of a mesa groove corresponding to a PN junction, are solved using an inexpensive material, and a mesa type semiconductor device of high withstand voltage and high reliability is offered together with its manufacturing method. A stable protection film made of a thermal oxide film is formed on the inner wall of the mesa groove in the mesa type semiconductor device to cover and protect the PN junction, and an insulation film having negative electric charges is formed to fill a space in the mesa groove covered with the thermal oxide film so that an electron accumulation layer is not easily formed at an interface between an N? type semiconductor layer and the thermal oxide film.Type: GrantFiled: June 9, 2009Date of Patent: November 27, 2012Assignees: SANYO Semiconductor Co., Ltd., SANYO Semiconductor Manufacturing Co., Ltd., Semiconductor Components Industries, LLCInventors: Katsuyuki Seki, Naofumi Tsuchiya, Akira Suzuki, Kikuo Okada
-
Patent number: 8314033Abstract: A significantly improved low-k dielectric patterning method is described herein using plasma comprising an oxygen radical source and a silicon source to remove the photo-resist layer.Type: GrantFiled: March 24, 2011Date of Patent: November 20, 2012Assignee: Applied Materials, Inc.Inventors: Yifeng Zhou, Srinivas D. Nemani, Khoi Doan, Jeremiah T. P. Pender
-
Publication number: 20120289051Abstract: A method of manufacturing a semiconductor device is provided. According to an embodiment, the method includes forming a layer to be etched on a semiconductor substrate, and forming a photoresist pattern on the layer to be etched. A block copolymer including a hydrophobic radical and a hydrophilic radical is formed in the photoresist pattern, and the block copolymer is assembled to allow a polymer having the hydrophobic radical to be formed in a pillar pattern within a polymer having the hydrophilic radical. The polymer having the hydrophobic radical is then selectively removed.Type: ApplicationFiled: January 10, 2012Publication date: November 15, 2012Applicant: Hynix Semiconductor Inc.Inventors: Jae Heon KIM, Cheol Kyu Bok
-
Publication number: 20120289050Abstract: A method of etching trenches in a semiconductor substrate. A patterned hard mask is formed over a semiconductor substrate. Using the patterned hard mask as an etching mask, a plasma etching process is then carried out to etch trenches into the semiconductor substrate not covered by the patterned hard mask, wherein the plasma etching process employs a fluorocarbon-free plasma etching chemistry and is performed under a plasma pulse output mode.Type: ApplicationFiled: May 9, 2011Publication date: November 15, 2012Inventors: Chang-Ming Wu, Yi-Nan Chen, Hsien-Wen Liu
-
Publication number: 20120286355Abstract: A power semiconductor device has a semiconductor body which includes an active area and a peripheral area which both define a horizontal main surface of the semiconductor body. The semiconductor body further includes an n-type semiconductor layer, a pn junction and at least one trench. The n-type semiconductor layer is embedded in the semiconductor body and extends to the main surface in the peripheral area. The pn junction is arranged between the n-type semiconductor layer and the main surface in the active area. The at least one trench extends in the peripheral area from the main surface into the n-type semiconductor layer and includes a dielectric layer with fixed negative charges. In the vertical direction, the dielectric layer is arranged both below and above the pn junction. The dielectric layer with fixed negative charges typically has a negative net charge. Further, a method for forming a semiconductor device is provided.Type: ApplicationFiled: July 6, 2012Publication date: November 15, 2012Applicant: INFINEON TECHNOLOGIES AUSTRIA AGInventors: Anton Mauder, Franz Hirler, Wolfgang Lehnert, Rudolf Berger, Klemens Pruegl, Hans-Joachim Schulze, Helmut Strack
-
Publication number: 20120282777Abstract: A method for increasing adhesion between polysilazane and silicon nitride is disclosed, comprising, providing a substrate comprising a trench, forming a silicon nitride liner layer on a bottom surface and a sidewall of the trench, performing a treating process to the silicon nitride liner layer for producing a hydrophilic surface with OH groups that can increase adhesion between the silicon nitride liner layer and a subsequently formed polysilazane coating layer, and forming a polysilazane coating layer into the trench and on the silicon nitride liner layer.Type: ApplicationFiled: May 6, 2011Publication date: November 8, 2012Applicant: NANYA TECHNOLOGY CORPORATIONInventors: Shing-Yih SHIH, Yi-Nan CHEN, Hsien-Wen LIU
-
Publication number: 20120280354Abstract: An integrated circuit device having a plurality of lines is described in which the widths of the lines, and the spacing between adjacent lines, vary within a small range which is independent of variations due to photolithographic processes, or other patterning processes, involved in manufacturing the device. A sequential sidewall spacer formation process is described for forming an etch mask for the lines, which results in first and second sets of sidewall spacers arranged in an alternating fashion. As a result of this sequential sidewall spacer process, the variation in the widths of the lines across the plurality of lines, and the spacing between adjacent lines, depends on the variations in the dimensions of the sidewall spacers. These variations are independent of, and can be controlled over a distribution much less than, the variation in the size of the intermediate mask element caused by the patterning process.Type: ApplicationFiled: May 5, 2011Publication date: November 8, 2012Applicant: SYNOPSYS, INC.Inventors: VICTOR MOROZ, XI-WEI LIN
-
Publication number: 20120276744Abstract: A method of making a device includes forming a first photoresist layer over a sacrificial layer, patterning the first photoresist layer to form first photoresist features, rendering the first photoresist features insoluble to a solvent, forming a second photoresist layer over the first photoresist features, patterning the second photoresist layer to form second photoresist features, forming a spacer layer over the first and second photoresist features, etching the spacer layer to form spacer features and to expose the first and second photoresist features, forming third photoresist features between the spacer features, removing the spacer features, and patterning the sacrificial layer using the first, second and third photoresist features as a mask to form sacrificial features.Type: ApplicationFiled: May 3, 2012Publication date: November 1, 2012Applicant: SanDisk 3D LLCInventors: Natalie Nguyen, Paul Wai Kie Poon, Steven J. Radigan, Michael Konevecki, Yung-Tin Chen, Raghuveer Makala, Vance Dunton
-
Publication number: 20120276719Abstract: Methods of forming vertical nonvolatile memory devices utilize carbon-blocking sacrificial capping layers to increase device yield by reducing the likelihood that one or more vertically-stacked layers of materials will lift-off during fabrication. These capping layers may be provided to cover carbon-containing sacrificial layers that are highly polymerized.Type: ApplicationFiled: April 17, 2012Publication date: November 1, 2012Inventors: Tae-Jong Han, Daewoong Kim, Kyung-Tae Jang, Bongcheol Kim, Ohchel Kwon
-
Patent number: 8298957Abstract: The present invention is a plasma etching method comprising: a cleaning step (a) in which a cleaning gas is supplied into a processing vessel and the cleaning gas is made plasma, so that a deposit adhering to an inside of the processing vessel is removed by means of the plasma; a film depositing step (b), succeeding the cleaning step (a), in which a film depositing gas containing carbon and fluorine is supplied into the processing vessel and the film depositing gas is made plasma, so that a film containing carbon and fluorine is deposited on the inside of the processing vessel by means of the plasma; an etching step (c), succeeding the film depositing step (b), in which a substrate is placed on a stage inside the processing vessel, and an etching gas is supplied into the processing vessel and the etching gas is made plasma, so that the substrate is etched by means of the plasma; and an unloading step (d), succeeding the etching step (c), in which the substrate is unloaded from the processing vessel; wherein,Type: GrantFiled: February 6, 2009Date of Patent: October 30, 2012Assignee: Tokyo Electron LimitedInventors: Yosuke Sakao, Kensuke Kamiutanai, Akitaka Shimizu
-
Patent number: 8299506Abstract: A method of forming CMOS circuitry integrated with MEMS devices includes bonding a wafer to a top surface layer having contacts formed to CMOS circuitry. A handle wafer is then removed from one of the top or bottom surfaces of the CMOS circuitry, and MEMS devices are formed in a remaining silicon layer.Type: GrantFiled: December 1, 2009Date of Patent: October 30, 2012Assignee: Honeywell International Inc.Inventors: Andy Peczalski, Robert E. Higashi, Gordon Alan Shaw, Thomas Keyser
-
Publication number: 20120264306Abstract: The present invention provides a method of forming an opening on a semiconductor substrate. First, a substrate is provided. Then a dielectric layer and a cap layer are formed on the substrate. A ratio of a thickness of the dielectric layer and a thickness of the cap layer is substantially between 15 and 1.5. Next, a patterned boron nitride layer is formed on the cap layer. Lastly, an etching process is performed by using the patterned hard mask as a mask to etch the cap layer and the dielectric layer so as to form an opening in the cap layer and the dielectric layer.Type: ApplicationFiled: April 15, 2011Publication date: October 18, 2012Inventors: Chun-Yuan Wu, Chih-Chien Liu, Chin-Fu Lin, Po-Chun Chen
-
Publication number: 20120256261Abstract: A semiconductor device including a substrate having at least one nitride material lined isolation cavity; and a hafnium containing dielectric fill at least partially contained in and at least partially covering at least a portion of the at least one nitride lined isolation cavity.Type: ApplicationFiled: April 11, 2011Publication date: October 11, 2012Inventors: Kangguo Cheng, Bruce B. Doris, Tenko Yamashita, Ying Zhang
-
Patent number: 8278221Abstract: A method of forming hard mask employs a double patterning technique. A first hard mask layer is formed on a substrate, and a first sacrificial pattern is formed on the first hard mask layer by photolithography. Features of the first sacrificial pattern are spaced from one another by a first pitch. A second hard mask layer is then formed conformally on the first sacrificial pattern and the first hard mask layer so as to delimit recesses between adjacent features of the first sacrificial pattern. Upper portions of the second hard mask layer are removed to expose the first sacrificial pattern, and the exposed first sacrificial pattern and the second sacrificial pattern are removed. The second hard mask layer and the first hard mask layer are then etched to form a hard mask composed of residual portions of the first hard mask layer and the second hard mask layer.Type: GrantFiled: July 13, 2011Date of Patent: October 2, 2012Assignee: Samsung Electronics Co., Ltd.Inventors: Cha-won Koh, Han-ku Cho, Jeong-lim Nam, Gi-sung Yeo, Joon-soo Park, Ji-young Lee
-
Patent number: 8273662Abstract: A manufacturing method of a semiconductor device wherein a metal pad is etched to form a trench in which a central part is concave in form, or to form a trench in the shape of a cylinder or a parallelepiped on the edge part of a metal pad. Accordingly, the contact area between a polymide isoindro quirazorindione (PIQ) or similar curable layer and the metal pad is increased and the bondability is improved. Accordingly, the technology of improving the characteristic of device by preventing the problem that the metal pad is excessively opened in a subsequent curing process and the layer of a lower portion of the metal pad is attacked is disclosed.Type: GrantFiled: October 15, 2010Date of Patent: September 25, 2012Assignee: Hynix Semiconductor Inc.Inventor: Hyung Kyu Kim
-
Patent number: 8268118Abstract: A method for forming a feature in an etch layer is provided. A photoresist layer is formed over the etch layer. The photoresist layer is patterned to form photoresist features with photoresist sidewalls. A control layer is formed over the photoresist layer and bottoms of the photoresist features. A conformal layer is deposited over the sidewalls of the photoresist features and control layer to reduce the critical dimensions of the photoresist features. Openings in the control layer are opened with a control layer breakthrough chemistry. Features are etched into the etch layer with an etch chemistry, which is different from the control layer break through chemistry, wherein the control layer is more etch resistant to the etch with the etch chemistry than the conformal layer.Type: GrantFiled: February 24, 2010Date of Patent: September 18, 2012Assignee: Lam Research CorporationInventors: Sangheon Lee, Dae-Han Choi, Jisoo Kim, Peter Cirigliano, Zhisong Huang, Robert Charatan, S. M. Reza Sadjadi
-
Publication number: 20120231599Abstract: A method of manufacturing semiconductor devices includes forming a plurality of patterns spaced apart from each other on a semiconductor substrate, forming a filling layer, not removed in a subsequent process of forming a mask pattern and where the filling layer formed to have a lower height than the plurality of patterns, between the plurality of patterns, forming a mask layer on the entire structure where the filling layer is formed, and forming the mask pattern by removing some of the mask layer so that some of the plurality of patterns is removed.Type: ApplicationFiled: March 9, 2012Publication date: September 13, 2012Applicant: HYNIX SEMICONDUCTOR INC.Inventor: Min Gyu KOO
-
Publication number: 20120228703Abstract: According to one embodiment, a semiconductor device includes a semiconductor substrate, and an insulating member. The semiconductor substrate has a trench formed in a top surface. The insulating member is provided in the trench. A space is formed between the semiconductor substrate and the insulating member.Type: ApplicationFiled: September 21, 2011Publication date: September 13, 2012Applicant: Kabushiki Kaisha ToshibaInventor: Koichi YAMAOKA
-
Patent number: 8263487Abstract: A method of forming fine patterns of a semiconductor device by using carbon (C)-containing films includes forming an etching target film on a substrate including first and second regions; forming a plurality of first C-containing film patterns on the etching target film in the first region; forming a buffer layer which covers top and side surfaces of the plurality of first C-containing film patterns; forming a second C-containing film; removing the second C-containing film in the second region; exposing the plurality of first C-containing film patterns by removing a portion of the buffer layer in the first and second regions; and etching the etching target film by using the plurality of first C-containing film patterns, and portions of the second C-containing film which remain in the first region, as an etching mask.Type: GrantFiled: December 29, 2009Date of Patent: September 11, 2012Assignee: Samsung Electronics Co., Ltd.Inventors: Dong-ki Yoon, Shi-yong Yi, Seong-woon Choi, Seok-hwan Oh, Kwang-sub Yoon, Myeong-cheol Kim, Young-ju Park