Utilizing Multiple Gas Energizing Means Patents (Class 438/711)
  • Patent number: 8409991
    Abstract: A large surface substrate (5, 5a) is Rf vacuum plasma treated with the help of an electrode arrangement (9) consisting of an even number of electrode strips (9a, 9b). At least one of the strips is Rf supplied at least two distinct loci (P1, P2) along the central axis (A) of the addressed strip (9a).
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: April 2, 2013
    Assignee: Oerlikon Solar AG, Trubbach
    Inventors: Stephan Jost, Andreas Belinger
  • Publication number: 20130059448
    Abstract: Embodiments for processing a substrate in a pulsed plasma chamber are provided. A processing apparatus with two chambers, separated by a plate fluidly connecting the chambers, includes a continuous wave (CW) controller, a pulse controller, and a system controller. The CW controller sets the voltage and the frequency for a first radio frequency (RF) power source coupled to a top electrode. The pulse controller is operable to set voltage, frequency, ON-period duration, and OFF-period duration for a pulsed RF signal generated by a second RF power source coupled to the bottom electrode. The system controller is operable to set parameters to regulate the flow of species between the chambers to assist in the negative-ion etching, to neutralize excessive positive charge on the wafer surface during afterglow in the OFF period, and to assist in the re-striking of the bottom plasma during the ON period.
    Type: Application
    Filed: September 7, 2011
    Publication date: March 7, 2013
    Applicant: Lam Research Corporation
    Inventors: Alexei Marakhtanov, Rajinder Dhindsa, Eric Hudson, Andrew D. Bailey, III
  • Patent number: 8377827
    Abstract: A method for forming a gate, which can improve the etching uniformity of the sidewalls of the gate, includes the following steps: forming a dielectric layer on a semiconductor substrate; forming a polysilicon layer on the dielectric layer; etching the polysilicon layer; performing an isotropic plasma etching process on the etched polysilicon layer by using a mixed gases containing a fluorine-based gas and oxygen gas; and cleaning the semiconductor substrate subjected to the isotropic plasma etching process, thereby forming a gate. The present invention further provides a method for forming a shallow trench isolation region, which can improve the filling quality of a subsequent spacer and the electrical properties of the resultant shallow trench isolation region, and a method for planarizing an etched surface of silicon substrate, which can improve the etching uniformity of the surface of silicon substrate.
    Type: Grant
    Filed: August 12, 2011
    Date of Patent: February 19, 2013
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Qiuhua Han, Haiyang Zhang, Qingtian Ma
  • Patent number: 8367554
    Abstract: A method for forming a gate, which can improve the etching uniformity of the sidewalls of the gate, includes the following steps: forming a dielectric layer on a semiconductor substrate; forming a polysilicon layer on the dielectric layer; etching the polysilicon layer; performing an isotropic plasma etching process on the etched polysilicon layer by using a mixed gases containing a fluorine-based gas and oxygen gas; and cleaning the semiconductor substrate subjected to the isotropic plasma etching process, thereby forming a gate. The present invention further provides a method for forming a shallow trench isolation region, which can improve the filling quality of a subsequent spacer and the electrical properties of the resultant shallow trench isolation region, and a method for planarizing an etched surface of silicon substrate, which can improve the etching uniformity of the surface of silicon substrate.
    Type: Grant
    Filed: August 12, 2011
    Date of Patent: February 5, 2013
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Qiuhua Han, Haiyang Zhang, Qingtian Ma
  • Publication number: 20130023127
    Abstract: A method of forming a contact hole includes loading a substrate into a plasma chamber, the substrate including an etch stop layer, an insulation interlayer, a mask layer and a photoresist pattern sequentially disposed thereon, applying a DC voltage to an upper electrode and applying a first high frequency power and a second high frequency power to a lower electrode to generate plasma in the chamber, the first frequency power and second high frequency powers having different frequency levels, supplying a reaction gas to the chamber to etch the mask layer and the insulation interlayer, wherein the chamber is maintained at a temperature of 100° C. to 200° C.
    Type: Application
    Filed: May 21, 2012
    Publication date: January 24, 2013
    Inventors: Chong-Kwang CHANG, Young-Mook OH, Jung-Hoon LEE, Hak-Yoon AHN
  • Publication number: 20120302031
    Abstract: The present invention relates to a plasma etching method and apparatus for preparing high-aspect-ratio structures. The method includes the steps of placing the substrate into a plasma etching apparatus, wherein the plasma etching apparatus includes an upper electrode plate and a lower electrode plate; continuously supplying an upper source RF power and a DC power to the upper electrode plate; and discontinuously supplying a bias RF power to the lower electrode plate. When the bias RF power is switched to the off state, a large amount of secondary electrons pass through the bulk plasma and reach the substrate to neutralize the positive ions during the duration time of the off state (Toff).
    Type: Application
    Filed: May 23, 2011
    Publication date: November 29, 2012
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Chang Ming Wu, Yi Nan Chen, Hsien Wen Liu
  • Patent number: 8314033
    Abstract: A significantly improved low-k dielectric patterning method is described herein using plasma comprising an oxygen radical source and a silicon source to remove the photo-resist layer.
    Type: Grant
    Filed: March 24, 2011
    Date of Patent: November 20, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Yifeng Zhou, Srinivas D. Nemani, Khoi Doan, Jeremiah T. P. Pender
  • Publication number: 20120289053
    Abstract: A semiconductor substrate processing system includes a substrate support defined to support a substrate in exposure to a processing region. The system also includes a first plasma chamber defined to generate a first plasma and supply reactive constituents of the first plasma to the processing region. The system also includes a second plasma chamber defined to generate a second plasma and supply reactive constituents of the second plasma to the processing region. The first and second plasma chambers are defined to be independently controlled.
    Type: Application
    Filed: May 10, 2011
    Publication date: November 15, 2012
    Applicant: Lam Research Corporation
    Inventors: John Patrick Holland, Peter L.G. Ventzek, Harmeet Singh, Richard Gottscho
  • Publication number: 20120289054
    Abstract: A semiconductor substrate processing system includes a chamber that includes a processing region and a substrate support. The system includes a top plate assembly disposed within the chamber above the substrate support. The top plate assembly includes first and second sets of plasma microchambers each formed into the lower surface of the top plate assembly. A first network of gas supply channels are formed through the top plate assembly to flow a first process gas to the first set of plasma microchambers to be transformed into a first plasma. A set of exhaust channels are formed through the top plate assembly. The second set of plasma microchambers are formed inside the set of exhaust channels. A second network of gas supply channels are formed through the top plate assembly to flow a second process gas to the second set of plasma microchambers to be transformed into a second plasma.
    Type: Application
    Filed: May 10, 2011
    Publication date: November 15, 2012
    Applicant: Lam Research Corporation
    Inventors: John Patrick Holland, Peter L. G. Ventzek, Harmeet Singh, Richard Gottscho
  • Patent number: 8268728
    Abstract: The present invention generally provides a method of forming a high efficiency solar cell device by preparing a surface and/or forming at least a part of a high quality passivation layer on a silicon containing substrate. Embodiments of the present invention may be especially useful for preparing a surface of a p-type doped region formed on a silicon substrate so that a high quality passivation layer can be formed thereon. In one embodiment, the methods include exposing a surface of the solar cell substrate to a plasma to clean and modify the physical, chemical and/or electrical characteristics of the surface.
    Type: Grant
    Filed: August 2, 2011
    Date of Patent: September 18, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Michael P. Stewart, Lisong Zhou, Jen Shu, Li (Sherry) Xu
  • Patent number: 8252193
    Abstract: A substrate plasma processing apparatus includes a chamber of which an interior is evacuated under a predetermined vacuum condition; an RF electrode which is disposed in the chamber and configured so as to hold a substrate to be processed on a main surface thereof; an opposing electrode which is disposed opposite to the RF electrode in the chamber; an RF voltage applying device for applying an RF voltage with a predetermined frequency to the RF electrode; and a pulsed voltage applying device for applying a pulsed voltage to the RF electrode so as to be superimposed with the RF voltage and which includes a controller for controlling a timing in application of the pulsed voltage and defining a pause period of the pulsed voltage.
    Type: Grant
    Filed: March 20, 2008
    Date of Patent: August 28, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Akio Ui, Takashi Ichikawa, Naoki Tamaoki, Hisataka Hayashi, Akihiro Kojima
  • Publication number: 20120094494
    Abstract: A method to further adjust the final CD of a material to be etched during an etching process, and after a photolithographic patterning process can include patterning a semiconductor substrate using a mask layer. The mask layer can comprise a hardmask material having a protruding feature with an initial width. A first plasma comprising carbon and fluorine can be introduced into a chamber, where residual carbon and fluorine is deposited on at least the chamber wall. A portion of the mask layer can then be removed with a second plasma incorporating the residual carbon and fluorine, whereby remaining hardmask material forms a feature pattern where the protruding feature has a final width different from the initial width. The feature pattern can then be transferred to the semiconductor substrate using the final width of the at least one protruding feature provided by the remaining hardmask material.
    Type: Application
    Filed: October 14, 2010
    Publication date: April 19, 2012
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Yu-Chung Chen, Shih-Ping Hong, Ming-Tsung Wu
  • Publication number: 20120088371
    Abstract: Methods for etching substrates using a pulsed DC voltage are provided herein. In some embodiments, a method for method for etching a substrate disposed on a substrate support within a process chamber may include providing a process gas to the process chamber; forming a plasma from the process gas; applying a pulsed DC voltage to a first electrode disposed within the process chamber; and etching the substrate while applying the pulsed DC voltage.
    Type: Application
    Filed: April 19, 2011
    Publication date: April 12, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: ALOK RANJAN, NICOLAS GANI, MEIHUA SHEN, ANISUL H. KHAN
  • Publication number: 20120083128
    Abstract: A method for etching high-aspect-ratio features is disclosed. The method is applicable in forming a nanoscale deep trench having a smooth and angle-adjustable sidewall. The method includes: forming a patterned photoresist layer on a surface of a silicon substrate for exposing a part of the silicon substrate; and supplying a process gas simultaneously containing sulfur hexafluoride (SF6) and fluorinated carbon composition into a chamber in which the substrate in positioned for carrying out a deep reactive ion etching operation to etch the part of the silicon substrate for forming the deep trench. The method forms a nanoscale deep trench with a high silicon-to-photoresist etching selectivity.
    Type: Application
    Filed: April 4, 2011
    Publication date: April 5, 2012
    Applicant: National Taiwan University of Science and Technology
    Inventors: Yung-jr Hung, San-liang Lee
  • Patent number: 8148268
    Abstract: The invention provides a plasma treatment apparatus or a plasma treatment method having a high productivity while maintaining a stable treatment performance.
    Type: Grant
    Filed: February 29, 2008
    Date of Patent: April 3, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kohei Sato, Hideaki Kondo, Susumu Tauchi, Akitaka Makino
  • Patent number: 8138096
    Abstract: In a plasma etching method, a substrate including an underlying film, an insulating film and a resist mask is plasma etched to thereby form a number of holes in the insulating film including a dense region and a sparse region by using a parallel plate plasma etching apparatus for applying a plasma-generating high frequency electric power to a space between an upper and a lower electrode and a biasing high frequency electric power to the lower electrode. The plasma etching method includes mounting the substrate on a mounting table; supplying a first process gas containing carbon and fluorine to form the holes in the insulating film to a depth close to the underlying film; and supplying a second process gas including an inert gas and another gas contain carbon and fluorine to have the holes reach the underlying film while applying a negative DC voltage to the upper electrode.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: March 20, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Ryoichi Yoshida
  • Publication number: 20120064686
    Abstract: A method of etching recesses into silicon prior to formation of embedded silicon alloy source/drain regions. The recess etch includes a plasma etch component, using an etch chemistry of a primary fluorine-based or chlorine-based etchant, in combination with a similar concentration of hydrogen bromide. The concentration of both the primary etchant and the hydrogen bromide is relatively low; a diluent of an inert gas or oxygen is added to the reactive species. Loading effects on the undercut of the recess etch are greatly reduced, resulting in reduced transistor performance variation.
    Type: Application
    Filed: September 13, 2010
    Publication date: March 15, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: David Gerald Farber, Tom Lii
  • Publication number: 20120052688
    Abstract: The present invention relates to a plasma etching method with which a wide-gap semiconductor substrate can be etched with high accuracy. An inert gas is supplied into a processing chamber and plasma is generated from the inert gas, a bias potential is applied to a platen on which a wide-gap semiconductor substrate is placed, thereby making ions generated by the generation of plasma from the inert gas incident on the semiconductor substrate on the platen to thereby heat the semiconductor substrate. After the temperature of the semiconductor substrate reaches an etching temperature between 200° C. and 400° C., an etching gas is supplied into the processing chamber and plasma is generated from the etching gas and a bias potential is applied to the platen, thereby etching the semiconductor substrate while maintaining the temperature of the semiconductor substrate at the etching temperature.
    Type: Application
    Filed: September 6, 2010
    Publication date: March 1, 2012
    Applicant: SUMITOMO PRECISION PRODUCTS CO., LTD.
    Inventors: Akimitsu Oishi, Shoichi Murakami, Masayasu Hatashita
  • Publication number: 20120009796
    Abstract: Methods of decreasing the effective dielectric constant present between two conducting components of an integrated circuit are described. The methods involve the use of a gas phase etch which is selective towards the oxygen-rich portion of the low-K dielectric layer. The etch rate attenuates as the etch process passes through the relatively high-K oxygen-rich portion and reaches the low-K portion. The etch process may be easily timed since the gas phase etch process does not readily remove the desirable low-K portion.
    Type: Application
    Filed: October 21, 2010
    Publication date: January 12, 2012
    Applicant: Applied Materials, Inc.
    Inventors: Zhenjiang Cui, Anchuan Wang, Mehul Naik, Nitin Ingle, Young Lee, Shankar Venkataraman
  • Patent number: 8093155
    Abstract: A method for controlling striations and CD loss in a plasma etching method is disclosed. During the etching process, the substrate of semiconductor material to be etched is exposed first to plasma under a low power strike and subsequently to a conventional high power strike. CD loss has been found to be reduced by about 400 Angstroms and striations formed in the contact holes are reduced.
    Type: Grant
    Filed: December 2, 2008
    Date of Patent: January 10, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Bradley J. Howard
  • Patent number: 8071481
    Abstract: A multi-step etching process produces trench openings in a silicon substrate that are immediately adjacent transistor structures formed over the substrate surface. The multi-step etching process is a Br-based etching operation with one step including nitrogen and a further step deficient of nitrogen. The etching process does not attack the transistor structure and forms an opening bounded by upper surfaces that extend downwardly from the substrate surface and are substantially vertical, and lower surfaces that bulge outwardly from the upper vertical sections and undercut the transistor structure. The aggressive undercut produces a desirable stress in the etched silicon surface. The openings are then filled with a suitable source/drain material and SSD transistors with desirable Idsat characteristics may then be formed.
    Type: Grant
    Filed: April 23, 2009
    Date of Patent: December 6, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ta-Wei Kao, Shiang-Bau Wang, Ming-Jie Huang, Chi-Hsi Wu, Shu-Yuan Ku
  • Patent number: 8058178
    Abstract: The present invention pertains to methods for removing unwanted material from a semiconductor wafer during wafer manufacturing. More specifically, the invention pertains to stripping photo-resist material and removing etch-related residues from a semiconductor wafer. Methods involve implementing a plasma operation using hydrogen and a weak oxidizing agent, such as carbon dioxide. The invention is effective at stripping photo-resist and removing residues from low-k dielectric material used in Damascene devices.
    Type: Grant
    Filed: July 31, 2009
    Date of Patent: November 15, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Haruhiro Harry Goto, Ilia Kalinovski, Khalid Mohamed
  • Patent number: 8058181
    Abstract: The current invention provides methods for performing a cleaning process that provides greater cleaning efficiency with less damage to device structures. After etching and photoresist stripping, a first plasma clean is performed. The first plasma clean may comprise one or more steps. Following the first plasma clean, a first HO based clean is performed. The first HO based clean may be a de-ionized water rinse, a water vapor clean, or a plasma clean, where the plasma includes hydrogen and oxygen. Following the first HO based clean, a second plasma clean is performed, which may comprise one or more steps. A second HO based clean follows the second plasma clean, and may be a de-ionized water rinse, a water vapor clean, or a plasma clean, where the plasma includes hydrogen and oxygen. For plasma processes, an RF, generated plasma, a microwave generated plasma, an inductively coupled plasma, or combination may be used.
    Type: Grant
    Filed: July 13, 2009
    Date of Patent: November 15, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: David L. Chen, Yuh-Jia Su, Eddie Ka Ho Chiu, Maria Paola Pozzoli, Senzi Li, Giuseppe Colangelo, Simone Alba, Simona Petroni
  • Patent number: 8039402
    Abstract: There is provide a method for forming a gate, which can improve the etching uniformity of the sidewalls of the gate, including the following steps: forming a dielectric layer on a semiconductor substrate; forming a polysilicon layer on the dielectric layer; etching the polysilicon layer; performing an isotropic plasma etching process on the etched polysilicon layer by using a mixed gases containing a fluorine-based gas and oxygen gas; and cleaning the semiconductor substrate subjected to the isotropic plasma etching process, thereby forming a gate. there are also provided a method for forming a shallow trench isolation region, which can improve the filling quality of a subsequent spacer and the electrical properties of the resultant shallow trench isolation region by improving the etching uniformity of sidewalls and bottom surface of the shallow trench, and a method for planarizating an etched surface of silicon substrate, which can improve the etching uniformity of the surface of silicon substrate.
    Type: Grant
    Filed: December 11, 2008
    Date of Patent: October 18, 2011
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Qiuhua Han, Haiyang Zhang, Qingtian Ma
  • Patent number: 8030216
    Abstract: A plasma processing method, which enables the etching controllability for a high-dielectric-constant insulating film to be improved. A substrate having a high-dielectric-constant gate insulating film and a hard mask formed thereon is subjected to etching processing using a plasma of a processing gas containing a noble gas and a reducing gas.
    Type: Grant
    Filed: June 13, 2008
    Date of Patent: October 4, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Shinichi Kozuka, Naoto Umehara
  • Patent number: 8008208
    Abstract: The present invention generally provides a method of forming a high efficiency solar cell device by preparing a surface and/or forming at least a part of a high quality passivation layer on a silicon containing substrate. Embodiments of the present invention may be especially useful for preparing a surface of a p-type doped region formed on a silicon substrate so that a high quality passivation layer can be formed thereon. In one embodiment, the methods include exposing a surface of the solar cell substrate to a plasma to clean and modify the physical, chemical and/or electrical characteristics of the surface.
    Type: Grant
    Filed: December 7, 2010
    Date of Patent: August 30, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Michael P. Stewart, Lisong Zhou, Jen Shu, Li (Sherry) Xu
  • Patent number: 8003541
    Abstract: A method of etching a material that includes comprising germanium, antimony, and tellurium encompasses exposing said material to a plasma-enhanced etching chemistry comprising Cl2 and CH2F2. A method of forming a variable resistance memory cell includes forming a conductive inner electrode material over a substrate. A variable resistance chalcogenide material comprising germanium, antimony, and tellurium is formed over the conductive inner electrode material. A conductive outer electrode material is formed over the chalcogenide material. The germanium, antimony, and tellurium-comprising material is plasma etched using a chemistry comprising Cl2 and CH2F2.
    Type: Grant
    Filed: October 11, 2010
    Date of Patent: August 23, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Tuman Earl Allen
  • Patent number: 7998876
    Abstract: A method of producing a semiconductor element includes the steps of forming a wiring portion layer on a substrate; forming an interlayer insulation layer over the substrate and the wiring portion layer, in which a third insulation film, a second insulation film, and a first insulation film are laminated in this order from the substrate; forming a mask pattern on the first insulation film; removing a contact hole forming area of the first insulation film through a wet etching process; removing a contact hole forming area of the second insulation film through an etching process; removing a contact hole forming area of the third insulation film through an etching process; and a contact hole forming step of forming a contact hole in the interlayer insulation layer so that a surface of the wiring portion layer is exposed.
    Type: Grant
    Filed: March 11, 2010
    Date of Patent: August 16, 2011
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Toshiyuki Orita
  • Patent number: 7981806
    Abstract: A method for forming a trench includes providing a substrate, and forming the trench in the substrate using a gas containing chlorine (Cl2) gas as a main etch gas and SiFX gas as an additive gas, wherein a sidewall of the trench has a substantially vertical profile by virtue of reaction of the Cl2 gas and the SiFX gas.
    Type: Grant
    Filed: November 30, 2007
    Date of Patent: July 19, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Tae-Woo Jung
  • Patent number: 7972968
    Abstract: A high density plasma dep/etch/dep method of depositing a dielectric film into a gap between adjacent raised structures on a substrate disposed in a substrate processing chamber. The method deposits a first portion of the dielectric film within the gap by forming a high density plasma from a first gaseous mixture flown into the process chamber, etches the deposited first portion of the dielectric film by flowing an etchant gas comprising CxFy, where a ratio of x to y is greater than or equal to 1:2 and then deposits a second portion of the dielectric film over the first portion by forming a high density plasma from a second gaseous mixture flown into the process chamber.
    Type: Grant
    Filed: August 18, 2008
    Date of Patent: July 5, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Young S. Lee, Ying Rui, Dmitry Lubomirsky, Daniel J. Hoffman, Jang Gyoo Yang, Anchuan Wang
  • Patent number: 7972980
    Abstract: A method of forming a conformal dielectric film having Si—N bonds on a semiconductor substrate by plasma enhanced chemical vapor deposition (PECVD) includes: introducing a nitrogen- and hydrogen-containing reactive gas and a rare gas into a reaction space inside which a semiconductor substrate is placed; applying RF power to the reaction space; and introducing a hydrogen-containing silicon precursor as a first precursor and a hydrocarbon gas as a second precursor in pulses into the reaction space wherein a plasma is excited, thereby forming a conformal dielectric film doped with carbon and having Si—N bonds on the substrate.
    Type: Grant
    Filed: May 12, 2010
    Date of Patent: July 5, 2011
    Assignee: ASM Japan K.K.
    Inventors: Woo Jin Lee, Akira Shimizu
  • Patent number: 7943522
    Abstract: A manufacturing method of a semiconductor device using a semiconductor manufacturing unit comprising a reaction chamber, a substrate mounting stage, and a high frequency power supply coupled to the substrate mounting stage, a blocking capacitor interposed between the substrate mounting stage and the high-frequency power supply to continuously perform a plurality of dry etching processing with respect to the same substrate in the same reaction chamber, the method includes: disposing a substrate on a substrate mounting stage, and applying high-frequency powers to the substrate mounting stage while introducing a fluorocarbon-based first gas to perform a first dry etching processing with respect to the substrate, the substrate including an organic material film and a silicon compound film sequentially deposited on a surface thereof and a resist film patterned on the silicon compound film, the first dry etching processing including processing the silicon compound film with the resist film being used as a mask; and
    Type: Grant
    Filed: October 27, 2010
    Date of Patent: May 17, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Mitsuhiro Omura
  • Patent number: 7928013
    Abstract: A rework method of a gate insulating layer of a thin film transistor includes the following steps. First, a substrate including a silicon nitride layer, which serves as a gate insulating layer, disposed thereon. Subsequently, a first film removal process is performed to remove the silicon nitride layer. The first film removal process includes an inductively coupled plasma (ICP) etching process. The ICP etching process is carried out by introducing gases including sulfur hexafluoride and oxygen. The ICP etching process has an etching selectivity ratio of the silicon nitride layer to the substrate, which is substantially between 18 and 30.
    Type: Grant
    Filed: December 1, 2009
    Date of Patent: April 19, 2011
    Assignee: AU Optronics Corp.
    Inventors: Chia-Hsu Chang, Pei-Yu Chen
  • Patent number: 7919416
    Abstract: A method of forming a conformal dielectric film having Si—N bonds on a semiconductor substrate by plasma enhanced chemical vapor deposition (PECVD) includes: introducing a nitrogen- and hydrogen-containing reactive gas and an additive gas into a reaction space inside which a semiconductor substrate is placed; applying RF power to the reaction space; and introducing a hydrogen-containing silicon precursor in pulses into the reaction space wherein a plasma is excited, thereby forming a conformal dielectric film having Si—N bonds on the substrate.
    Type: Grant
    Filed: January 21, 2009
    Date of Patent: April 5, 2011
    Assignee: ASM Japan K.K.
    Inventors: Woo-Jin Lee, Akira Shimizu, Atsuki Fukazawa
  • Patent number: 7906432
    Abstract: A method of manufacturing a semiconductor device in which a source contact plug and a drain contact plug are formed. The method includes the steps of etching part of the semiconductor substrate to form a step, thus forming an overlay vernier, and forming a hard mask on the step so that the step is maintained.
    Type: Grant
    Filed: May 24, 2007
    Date of Patent: March 15, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Kyung Ah Jeong
  • Publication number: 20110059616
    Abstract: A method for processing a target object includes arranging a first electrode and a second electrode for supporting the target object in parallel to each other in a processing chamber and processing the target object supported by the second electrode by using a plasma of a processing gas supplied into the processing chamber, the plasma being generated between the first electrode and the second electrode by applying a high frequency power between the first electrode and the second electrode. The target object includes an organic film and a photoresist layer formed on the organic film. The processing gas contains H2 gas, and the organic film is etched by a plasma containing H2 by using the photoresist layer as a mask while applying a negative DC voltage to the first electrode.
    Type: Application
    Filed: September 7, 2010
    Publication date: March 10, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kazuki NARISHIGE, Kazuo SHIGETA
  • Publication number: 20110039415
    Abstract: A semiconductor wafer includes a substrate, a conductive layer, a dielectric layer having a via, a hard mask defined a trench pattern, and a sacrificial layer. Then a sequential of etching processes is performed upon the semiconductor wafer in a chamber to form a trench and expose the conductive layer. By operating all procedures within one chamber, manufacturing time is efficiently shortened and yield is thus increased.
    Type: Application
    Filed: October 25, 2010
    Publication date: February 17, 2011
    Inventor: An-Chi Liu
  • Patent number: 7879730
    Abstract: Etch selectivity enhancement during electron beam activated chemical etch (EBACE) is disclosed. A target or portion thereof may be exposed to a gas composition of a type that etches the target when the gas composition and/or target are exposed to an electron beam. By directing an electron beam toward the target in the vicinity of the gas composition, an interaction between the electron beam and the gas composition etches a portion of the target exposed to both the gas composition and the electron beam. Selectivity of etching of the target due to interaction between the electron beam and gas composition may be enhanced in a number of ways.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: February 1, 2011
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Mehran Naser-Ghodsi, Garrett Pickard, Rudy F. Garcia, Tzu-Chin Chuang, Ming Lun Yu, Kenneth Krzeczowski, Matthew Lent, Sergey Lopatin, Chris Huang, Niles K. MacDonald
  • Patent number: 7846348
    Abstract: A manufacturing method of a semiconductor device using a semiconductor manufacturing unit comprising a reaction chamber, a substrate mounting stage, and a high frequency power supply coupled to the substrate mounting stage, a blocking capacitor interposed between the substrate mounting stage and the high-frequency power supply to continuously perform a plurality of dry etching processing with respect to the same substrate in the same reaction chamber, the method includes: disposing a substrate on a substrate mounting stage, and applying high-frequency powers to the substrate mounting stage while introducing a fluorocarbon-based first gas to perform a first dry etching processing with respect to the substrate, the substrate including an organic material film and a silicon compound film sequentially deposited on a surface thereof and a resist film patterned on the silicon compound film, the first dry etching processing including processing the silicon compound film with the resist film being used as a mask; and
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: December 7, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Mitsuhiro Omura
  • Patent number: 7838436
    Abstract: Formation of a bottom electrode for an MTJ device on a silicon nitride substrate is facilitated by including a layer of ruthenium near the silicon nitride surface. The ruthenium is a good electrical conductor and it responds differently from Ta and TaN to certain etchants. Adhesion to SiN is enhanced by using a TaN/NiCr bilayer as “glue”. Thus, said included layer of ruthenium may be used as an etch stop layer during the etching of Ta and/or TaN while the latter materials may be used to form a hard mask for etching the ruthenium without significant corrosion of the silicon nitride surface.
    Type: Grant
    Filed: September 28, 2006
    Date of Patent: November 23, 2010
    Assignee: MagIC Technologies, Inc.
    Inventors: Rongfu Xiao, Cheng T. Horng, Ru-Ying Tong, Chyu-Jinh Torng, Tom Zhong, Witold Kula, Terry Kin Ting Ko, Wei Cao, Wai-Ming J. Kan, Liubo Hong
  • Patent number: 7825033
    Abstract: A method of etching a material that includes comprising germanium, antimony, and tellurium encompasses exposing said material to a plasma-enhanced etching chemistry comprising Cl2 and CH2F2. A method of forming a variable resistance memory cell includes forming a conductive inner electrode material over a substrate. A variable resistance chalcogenide material comprising germanium, antimony, and tellurium is formed over the conductive inner electrode material. A conductive outer electrode material is formed over the chalcogenide material. The germanium, antimony, and tellurium-comprising material is plasma etched using a chemistry comprising Cl2 and CH2F2.
    Type: Grant
    Filed: June 9, 2006
    Date of Patent: November 2, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Tuman Earl Allen
  • Patent number: 7820553
    Abstract: Methods of preventing photoresist scum formation for etch processes for patterning material layers of semiconductor device material layers are disclosed. A treatment of N2 and O2 is used to prevent the formation of photoresist scum. The treatment may be performed in-situ, and may be performed during the etch process, after the etch process, or both. The treatment is particularly beneficial when implemented during the patterning of low dielectric constant material layers, and when used for the formation of isolated via patterns.
    Type: Grant
    Filed: July 20, 2005
    Date of Patent: October 26, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yin-Shen Chu, Chia-Piao Lee
  • Patent number: 7816272
    Abstract: A process of cleaning a semiconductor manufacturing system, and a method of manufacturing a semiconductor device. The cleaning process includes, for example, positioning a ceramic cover on the electrostatic chuck in tight contact with the chuck, and feeding a fluoride-based cleaning gas into a chamber. After the cleaning process, a process of forming a semiconductor film (deposition process) is performed. It is possible to prevent fluorine degasification from a substrate-supporting electrode (electrostatic chuck) during the deposition process. A semiconductor film can be formed without causing a temperature drop near the substrate. This prevents irregular film thickness, defective etching, film flaking, etc.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: October 19, 2010
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Hiroomi Tsutae
  • Patent number: 7781293
    Abstract: A method of fabricating a semiconductor device includes etching a silicon oxide film, a silicon nitride film, a polycrystalline silicone film, and a gate insulating film in a predetermined pattern including a first opening width corresponding to a first trench and a second opening width corresponding to a second trench, the second opening width being larger than the first opening width, and etching the semiconductor substrate to simultaneously form the first and second trenches so that a first depth of the first trench is equal to a second depth of the second trench, and a first angle between a first side surface and a first bottom surface of the first trench is smaller than a second angle between a second side surface and a second bottom surface of the second trench, and the first trench includes a curved portion at an upper portion of the first side surface.
    Type: Grant
    Filed: December 14, 2006
    Date of Patent: August 24, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takanori Matsumoto
  • Patent number: 7767596
    Abstract: A wafer support pin has a front end contacted with a wafer such that the front end is flat or rounded. Thus, gravitational stress is minimized during annealing the wafer, thereby minimizing slip dislocation. This wafer support pin is suitably used for annealing of a wafer, particularly high temperature rapid thermal annealing of a large-diameter wafer.
    Type: Grant
    Filed: December 26, 2007
    Date of Patent: August 3, 2010
    Assignee: Siltron, Inc.
    Inventors: Kun Kim, Jin-Kyun Hong, Woo-Hyun Seo, Kyoung-Hwan Song
  • Patent number: 7759214
    Abstract: Provided is a semiconductor device and method of making, incorporating a trench having rounded edges. According to an embodiment, a pad oxide layer, nitride layer, and TEOS layer are sequentially formed on a substrate. The TEOS layer, nitride layer, and pad oxide layer are dry-etched using a photosensitive layer pattern as a mask. After removing the photosensitive layer pattern, a trench is formed by dry-etching the substrate using the etched TEOS layer, nitride layer, and pad oxide layer as a mask. A portion of the pad oxide layer is pullback-etched, resulting in a first rounding of the trench. A portion of the etched nitride layer is pullback-etched and a portion of the etched TEOS layer is pullback-etched. The upper corner of the trench of the substrate is dry-etched using the pullback-etched TEOS layer, nitride layer, and pad oxide layer as a mask, resulting in a second rounding of the trench.
    Type: Grant
    Filed: August 17, 2006
    Date of Patent: July 20, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Suh Byoung Yoon
  • Patent number: 7682982
    Abstract: There is provided a plasma processing apparatus includes a lower electrode in a processing chamber on which a object to be processed is mounted; an upper electrode confronting the lower electrode; a first and a second high-frequency power supply for applying high-frequency powers respectively to the upper and the lower electrode; and an output controller for raising each of outputs from the high-frequency power supplies at least three times in a stepwise manner up to each of set levels for processing the object to be processed. The output controller adjusts each of rising times of the outputs from the high-frequency power supplies so that an output of the second high-frequency power supply is raised earlier than an output of the first high-frequency power supply while the outputs from the high-frequency power supplies are raised up to the set levels in a stepwise manner.
    Type: Grant
    Filed: February 18, 2005
    Date of Patent: March 23, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Naoto Sagae, Hiroshi Tsuchiya, Tsutomu Higashiura, Hideo Kato, Ryuji Ohtani
  • Patent number: 7670958
    Abstract: An etching method includes applying a photoresist over a substrate, forming an opening in the photoresist, and etching the substrate under the opening using a plasma generated with a gas composition containing argon and an amount of higher atomic mass inert gas. The amount may be effective to increase photoresist stability compared to otherwise identical etching lacking any of the higher atomic mass inert gas. The photoresist may have a composition sensitized to an actinic energy wavelength of 248 nm or less. A method of increasing the stability of 248 nm or less photoresist during RIE includes providing a means for reducing electron temperature of a plasma and etching a substrate exposed through photoresist openings without substantially destabilizing the photoresist.
    Type: Grant
    Filed: August 1, 2006
    Date of Patent: March 2, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Aaron R. Wilson
  • Publication number: 20100015809
    Abstract: A method for reducing very low frequency line width roughness (LWR) in forming etched features in an etch layer disposed below a patterned organic mask is provided. The patterned organic mask is treated to reduce very low frequency line width roughness of the patterned organic mask, comprising flowing a treatment gas comprising H2, wherein the treatment gas has a flow rate and H2 has a flow rate that is at least 50% of the flow rate of the treatment gas, forming a plasma from the treatment gas, and stopping the flow of the treatment gas. The etch layer is etched through the treated patterned organic mask with the reduced very low LWR.
    Type: Application
    Filed: July 17, 2008
    Publication date: January 21, 2010
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Yoko Y. Adams, David Yang
  • Patent number: 7637269
    Abstract: A method for removing a mask layer and reducing damage to a patterned dielectric layer is described. The method comprises disposing a substrate in a plasma processing system, wherein the substrate has a dielectric layer formed thereon and a mask layer overlying the dielectric layer. A pattern is formed in the mask layer and a feature formed in the dielectric layer corresponding to the pattern as a result of an etching process used to transfer the pattern in the mask layer to the dielectric layer. The feature includes a sidewall with a first roughness resulting from the etching process. A process gas comprising CO2 and CO is introduced into the plasma processing system, and plasma is formed. The mask layer is removed, and a second roughness, less than the first roughness, is produced by selecting a flow rate of the CO relative to a flow rate of the CO2.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: December 29, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Kelvin Zin, Masaru Nishino, Chong Hwan Chu, Yannick Feurprier