Silicon Patents (Class 438/719)
  • Patent number: 7749915
    Abstract: A method of protecting a polymeric layer from contamination by a photoresist layer. The method includes: (a) forming a polymeric layer over a substrate; (b) forming a non-photoactive protection layer over the polymeric layer; (c) forming a photoresist layer over the protection layer; (d) exposing the photoresist layer to actinic radiation and developing the photoresist layer to form a patterned photoresist layer, thereby exposing regions of the protection layer; (e) etching through the protection layer and the polymeric layer where the protection layer is not protected by the patterned photoresist layer; (f) removing the patterned photoresist layer in a first removal process; and (g) removing the protection layer in a second removal process different from the first removal process.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: July 6, 2010
    Assignee: International Business Machines Corporation
    Inventors: Ute Drechsler, Urs T. Duerig, Jane Elizabeth Frommer, Bernd W. Gotsmann, James Lupton Hedrick, Armin W. Knoll, Tobias Kraus, Robert Dennis Miller
  • Publication number: 20100159708
    Abstract: The invention is disclosed that pattern on semiconductor substrate is fabricated by thermal reflow technique. Also, the pattern on semiconductor substrate having different sub-micron spacings can be fabricated by using different time for the thermal reflow technique process.
    Type: Application
    Filed: April 10, 2009
    Publication date: June 24, 2010
    Applicant: National Chiao Tung University
    Inventors: Yi Edward Chang, Chia-Ta Chang, Shih-Kuang Hsiao
  • Patent number: 7741226
    Abstract: A method of optimally filling a through via within a through wafer via structure with a conductive metal such as, for example, W is provided. The inventive method includes providing a structure including a substrate having at least one aperture at least partially formed through the substrate. The at least one aperture of the structure has an aspect ratio of at least 20:1 or greater. Next, a refractory metal-containing liner such as, for example, Ti/TiN, is formed on bare sidewalls of the substrate within the at least one aperture. A conductive metal seed layer is then formed on the refractory metal-containing liner. In the invention, the conductive metal seed layer formed is enriched with silicon and has a grain size of about 5 nm or less. Next, a conductive metal nucleation layer is formed on the conductive metal seed layer. The conductive metal nucleation layer is also enriched with silicon and has a grain size of about 20 nm or greater.
    Type: Grant
    Filed: May 6, 2008
    Date of Patent: June 22, 2010
    Assignee: International Business Machines Corporation
    Inventors: Paul S. Andry, Edward C. Cooney, III, Peter J. Lindgren, Dorreen J. Ossenkop, Cornelia K. Tsang
  • Patent number: 7732305
    Abstract: In a first aspect, a method of forming an epitaxial film on a substrate is provided. The method includes (a) providing a substrate; (b) exposing the substrate to a silicon source and a carbon source so as to form a carbon-containing silicon epitaxial film; (c) encapsulating the carbon-containing silicon epitaxial film with an encapsulating film; and (d) exposing the substrate to Cl2 so as to etch the encapsulating film. Numerous other aspects are provided.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: June 8, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Zhiyuan Ye, Yihwan Kim, Xiaowei Li, Ali Zojaji, Nicholas C. Dalida, Jinsong Tang, Xiao Chen, Arkadii V. Samoilov
  • Patent number: 7727411
    Abstract: The present invention provides a manufacturing method of a substrate for an ink jet head including forming an ink supply opening to a silicon substrate, including (a) forming, at the back surface of the silicon substrate, an etching mask layer, which has an opening that is asymmetric with a center line, extending in the longitudinal direction, of an area on the surface of the silicon substrate where the ink supply opening is to be formed; (b) forming a non-through hole on the silicon substrate via the opening on the etching mask layer; and (c) forming the ink supply opening by performing a crystal anisotropic etching to the silicon substrate from the opening.
    Type: Grant
    Filed: March 2, 2007
    Date of Patent: June 1, 2010
    Assignee: Canon Kabushiki Kaisha
    Inventors: Jun Yamamuro, Shuji Koyama, Kenji Ono, Toshiyasu Sakai
  • Patent number: 7723235
    Abstract: After a polycrystalline silicon film (5) is formed on a semiconductor substrate via an insulating film for a gate insulating film (step S1), an organic antireflection film (21) is formed on the polycrystalline silicon film (5) (step S2), and a resist pattern (22) is formed on the antireflection film (21) (step S3). Then, a passivation film (23) is deposited on the antireflection film (21) so as to cover the resist pattern (22) by plasma using fluorocarbon gas while a bias voltage is being applied to the semiconductor substrate (step S4). Then, the passivation film (23) and the antireflection film (21) are etched by plasma using gas containing oxygen gas (step S5). Thereafter, the polycrystalline silicon film (5) is etched using the resist pattern (22) with reduced line edge roughness as an etching mask to form a gate electrode (step S6).
    Type: Grant
    Filed: June 10, 2005
    Date of Patent: May 25, 2010
    Assignee: Renesas Technology Corp.
    Inventors: Masaru Kurihara, Masaru Izawa
  • Patent number: 7718080
    Abstract: Methods and devices for selective etching in a semiconductor process are shown. Chemical species generated in a reaction chamber provide both a selective etching function and concurrently form a protective coating on other regions. An electron beam provides activation to selective chemical species. In one example, reactive species are generated from a plasma source to provide an increased reactive species density. Addition of other gasses to the system can provide functions such as controlling a chemistry in a protective layer during a processing operation. In one example an electron beam array such as a carbon nanotube array is used to selectively expose a surface during a processing operation.
    Type: Grant
    Filed: August 14, 2006
    Date of Patent: May 18, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Neal R. Rueger, Mark J. Williamson, Gurtej S. Sandhu
  • Publication number: 20100120177
    Abstract: A method for manufacturing a semiconductor device is disclosed including determining a dimension or other physical characteristic of a pattern in a layer of material that is disposed on a workpiece, and etching the layer of material using information that is related to the dimension. A system is also disclosed for manufacturing a semiconductor device including a first etch system configured to etch a layer to define a pattern in the layer, and a second etch system configured to measure a physical characteristic of the pattern, determine an etch control parameter based on the physical characteristic, and etch the layer in accordance with the etch control parameter.
    Type: Application
    Filed: January 21, 2010
    Publication date: May 13, 2010
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Haoren Zhuang, Alois Gutmann, Matthias Lipinski, Chandrasekhar Sarma, Jingyu Lian
  • Publication number: 20100105209
    Abstract: A method and apparatus for etching a silicon layer through a patterned mask formed thereon are provided. The silicon layer is placed in an etch chamber. An etch gas comprising a fluorine containing gas and an oxygen and hydrogen containing gas is provided into the etch chamber. A plasma is generated from the etch gas and features are etched into the silicon layer using the plasma. The etch gas is then stopped. The plasma may contain OH radicals.
    Type: Application
    Filed: October 23, 2008
    Publication date: April 29, 2010
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Jaroslaw W. Winniczek, Robert P. Chebi
  • Patent number: 7704885
    Abstract: A method for fabricating a semiconductor device is provided. The method of fabricating a semiconductor device provides a semiconductor substrate; forming a first insulating layer, a first conductive layer and a chemical mechanical polishing (CMP) stop layer over the semiconductor substrate in sequence; forming openings in the chemical mechanical polishing (CMP) stop layer and the underlying first conductive layer to expose the first insulating layer, thereby leaving a patterned chemical mechanical polishing (CMP) stop layer and a patterned first conductive layer; forming a second insulating layer on the patterned chemical mechanical polishing (CMP) stop layer, filling in the openings; performing a planarization process to remove a portion of the second insulating layer until the patterned chemical mechanical polishing (CMP) stop layer is exposed, thereby leaving a remaining second insulating layer in the openings; removing the patterned chemical mechanical polishing (CMP) stop layer.
    Type: Grant
    Filed: May 24, 2007
    Date of Patent: April 27, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kern-Huat Ang, Po-Jen Wang
  • Publication number: 20100099266
    Abstract: Embodiments of the invention provide a method and apparatus that enables plasma etching of high aspect ratio features. In one embodiment, a method for etching is provided that includes providing a substrate having a patterned mask disposed on a silicon layer in an etch reactor, providing a gas mixture of the reactor, maintaining a plasma formed from the gas mixture, wherein bias power and RF power provided the reactor are pulsed, and etching the silicon layer in the presence of the plasma.
    Type: Application
    Filed: September 21, 2009
    Publication date: April 22, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Manfred Oswald, Jivko Dinev, Jan Rupf, Markus Meye, Francesco Maletta, Uwe Leucke, Ron Tilger, Farid Abooameri, Alexander Matyushkin, Denis Koosau, Xiaoping Zhou, Thorsten Lehmann, Declan Scanlan
  • Patent number: 7700491
    Abstract: A method of preventing formation of stringers adjacent a side of a CMOS gate stack during the deposition of mask and poly layers for the formation of a base and emitter of a bi-polar device on a CMOS integrated circuit wafer. The stringers are formed by incomplete removal of a hard mask layer over an emitter poly layer over a nitride mask layer. The method includes overetching the hard mask layer with a first etchant having a higher selectivity for the emitter poly material than for the material of the hard mask, determining an end point for the overetching step by detection of nitride in the etchant and applying a poly etchant that is selective with respect to nitride to remove any residual emitter poly.
    Type: Grant
    Filed: August 10, 2005
    Date of Patent: April 20, 2010
    Assignee: Agere Systems Inc.
    Inventors: Milton Beachy, Thomas Craig Esry, Daniel Charles Kerr, Thomas M. Oberdick, Mario Pita
  • Publication number: 20100093178
    Abstract: A Si etching method includes: arranging a silicon substrate or a substrate having a silicon layer in a processing chamber; generating a plasma of an etching gas in the processing chamber; and etching the silicon substrate by the plasma. The etching gas is a gaseous mixture including a Br2 gas and one of a Cl2 gas and a chloride gas. The chloride gas has a mass that is higher than that of the Cl2 gas.
    Type: Application
    Filed: October 9, 2009
    Publication date: April 15, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Masanobu HONDA
  • Patent number: 7695632
    Abstract: A method for forming a feature in an etch layer is provided. A photoresist layer is formed over the etch layer. The photoresist layer is patterned to form photoresist features with photoresist sidewalls. A control layer is formed over the photoresist layer and bottoms of the photoresist features. A conformal layer is deposited over the sidewalls of the photoresist features and control layer to reduce the critical dimensions of the photoresist features. Openings in the control layer are opened with a control layer breakthrough chemistry. Features are etched into the etch layer with an etch chemistry, which is different from the control layer break through chemistry, wherein the control layer is more etch resistant to the etch with the etch chemistry than the conformal layer.
    Type: Grant
    Filed: May 31, 2005
    Date of Patent: April 13, 2010
    Assignee: Lam Research Corporation
    Inventors: Sangheon Lee, Dae-Han Choi, Jisoo Kim, Peter Cirigliano, Zhisong Huang, Robert Charatan, S.M. Reza Sadjadi
  • Publication number: 20100081287
    Abstract: A dry etching method includes: mounting a silicon substrate in a processing chamber; generating a plasma by discharging an etching gas in the processing chamber; and etching the silicon substrate by the plasma. The etching gas is a gaseous mixture including a Cl2 gas and one of an O2 gas, a rare gas, a HBr gas, a CF4 gas, and a SF6 gas.
    Type: Application
    Filed: September 28, 2009
    Publication date: April 1, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masanobu HONDA, Shoichiro Matsuyama, Masahiro Ito, Hironobu Ichikawa
  • Patent number: 7682980
    Abstract: A method for etching a polysilicon gate structure in a plasma etch chamber is provided. The method initiates with defining a pattern protecting a polysilicon film to be etched. Then, a plasma is generated. Next, substantially all of the polysilicon film that is unprotected is etched. Then, a silicon containing gas is introduced and a remainder of the polysilicon film is etched while introducing a silicon containing gas. An etch chamber configured to introduce a silicon containing gas during an etch process is also provided.
    Type: Grant
    Filed: January 25, 2007
    Date of Patent: March 23, 2010
    Assignee: Lam Research Corporation
    Inventors: Helene Del Puppo, Frank Lin, Chris Lee, Vahid Vahedi, Thomas A. Kamp, Alan J. Miller, Saurabh Ullal, Harmeet Singh
  • Patent number: 7682985
    Abstract: A method for etching a stack with at least one silicon germanium layer over a substrate in a processing chamber is provided. A silicon germanium etch is provided. An etchant gas is provided into the processing chamber, wherein the etchant gas comprises HBr, an inert diluent, and at least one of O2 and N2. The substrate is cooled to a temperature below 40° C. The etching gas is transformed to a plasma to etch the silicon germanium layer.
    Type: Grant
    Filed: March 17, 2004
    Date of Patent: March 23, 2010
    Assignee: Lam Research Corporation
    Inventors: C. Robert Koemtzopoulos, Yoko Yamaguchi Adams, Yoshinori Miyamoto, Yousun Kim Taylor
  • Patent number: 7682940
    Abstract: In a first aspect, a first method of forming an epitaxial film on a substrate is provided. The first method includes (a) providing a substrate; (b) exposing the substrate to at least a silicon source so as to form an epitaxial film on at least a portion of the substrate; and (c) exposing the substrate to HCl and Cl2 so as to etch the epitaxial film and any other films formed during step (b). Numerous other aspects are provided.
    Type: Grant
    Filed: September 14, 2005
    Date of Patent: March 23, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Zhiyuan Ye, Yihwan Kim, Xiaowei Li, Ali Zojaji, Nicholas C. Dalida, Jinsong Tang, Xiao Chen, Arkadii V. Samoilov
  • Patent number: 7682516
    Abstract: A method for etching features in an etch layer is provided. A patterned photoresist mask is formed over the etch layer with photoresist features with sidewalls wherein the sidewalls of the photoresist features have irregular profiles along depths of the photoresist features. The irregular profiles along the depths of the photoresist features of the sidewalls of the photoresist features are corrected comprising at least one cycle, where each cycle comprises a sidewall deposition phase and a profile shaping phase. Feature is etched into the etch layer through the photoresist features. The mask is removed.
    Type: Grant
    Filed: October 5, 2005
    Date of Patent: March 23, 2010
    Assignee: Lam Research Corporation
    Inventors: S. M. Reza Sadjadi, Peter Cirigliano, Jisoo Kim, Zhisong Huang, Eric A. Hudson
  • Publication number: 20100068888
    Abstract: A dry etching method includes: mounting a silicon substrate on an electrode arranged in a processing chamber; generating a plasma by discharging an etching gas in the processing chamber; supplying to the electrode a radio frequency power for attracting ions from the plasma; and etching the silicon substrate by the plasma by using an inorganic mask containing silicon as an etching mask. An absolute value of a self-bias voltage generated in the electrode is equal to or smaller than about 280 V, and wherein the etching is carried out while satisfying the following equation: y?0.0114x+0.171, where x is a pressure inside the processing chamber and y is a power density of the radio frequency power per unit area of the electrode.
    Type: Application
    Filed: September 17, 2009
    Publication date: March 18, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masanobu HONDA, Shoichiro Matsuyama
  • Patent number: 7678678
    Abstract: An embodiment includes a process of forming a gate stack that acts to resist the redeposition to the semiconductive substrate of mobilized metal such as from a metal gate electrode. An embodiment also relates to a system that achieves the process. An embodiment also relates to a gate stack structure that provides a composition that resists the redeposition of metal during processing and field use.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: March 16, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Fernando Gonzalez, Don Carl Powell
  • Publication number: 20100062607
    Abstract: In a dry etching method, a silicon substrate is mounted on an electrode arranged in a processing chamber; a plasma is generated by discharging an etching gas in the processing chamber; a radio frequency power for attracting ions from the plasma is supplied to the electrode; and the silicon substrate is etched by the plasma. A pressure inside the processing chamber is set as 1 mTorr to 100 mTorr, and the etching is carried out while satisfying the following equation: yM?2.84*10?3x+0.28, where yM is a power density of the radio frequency power per unit area of the electrode and x is the pressure inside the processing chamber.
    Type: Application
    Filed: September 11, 2009
    Publication date: March 11, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masanobu HONDA, Shoichiro Matsuyama
  • Patent number: 7674395
    Abstract: The invention provides a laser etching method for optical ablation working by irradiating a work article formed of an inorganic material with a laser light from a laser oscillator capable of emitting in succession light pulses of a large energy density in space and time with a pulse radiation time not exceeding 1 picosecond, wherein, in laser etching of the work article formed of the inorganic material by irradiation thereof with the laser light from the laser oscillator with a predetermined pattern and with a predetermined energy density, there is utilized means for preventing deposition of a work by-product around the etching position.
    Type: Grant
    Filed: January 3, 2007
    Date of Patent: March 9, 2010
    Assignee: Canon Kabushiki Kaisha
    Inventor: Jun Koide
  • Publication number: 20100055400
    Abstract: A method of smoothing the sidewalls of an etched feature using reactive plasma milling. The method of smoothing reduces the depth of sidewall notching, which causes the roughness on the feature wall surface. The method comprises removing residual polymeric materials from the interior and exterior surfaces of said silicon-comprising feature and treating the interior surface of the silicon-comprising feature with a reactive plasma generated from a source gas while the silicon-comprising feature is biased with a pulsed RF power. The source gas includes a reagent which reacts with the silicon and an inert gas. The method provides a depth of a notch on the interior surface of about 500 nm or less.
    Type: Application
    Filed: August 27, 2008
    Publication date: March 4, 2010
    Inventors: Jon Farr, Sharma Pamarthy, Khalid Sirajuddin
  • Patent number: 7670958
    Abstract: An etching method includes applying a photoresist over a substrate, forming an opening in the photoresist, and etching the substrate under the opening using a plasma generated with a gas composition containing argon and an amount of higher atomic mass inert gas. The amount may be effective to increase photoresist stability compared to otherwise identical etching lacking any of the higher atomic mass inert gas. The photoresist may have a composition sensitized to an actinic energy wavelength of 248 nm or less. A method of increasing the stability of 248 nm or less photoresist during RIE includes providing a means for reducing electron temperature of a plasma and etching a substrate exposed through photoresist openings without substantially destabilizing the photoresist.
    Type: Grant
    Filed: August 1, 2006
    Date of Patent: March 2, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Aaron R. Wilson
  • Patent number: 7666796
    Abstract: Some embodiments of the present invention include apparatuses and methods relating to improved substrate patterning for multi-gate transistors.
    Type: Grant
    Filed: March 23, 2006
    Date of Patent: February 23, 2010
    Assignee: Intel Corporation
    Inventors: Ibrahim Ban, Uday Shah, Allen B. Gardiner
  • Patent number: 7662722
    Abstract: A method is provided for fabricating a microelectronic chip which includes a passive device such, as an inductor, overlying an air gap. In such method, a plurality of front-end-of-line (“FEOL”) devices are formed in a semiconductor region of the microelectronic chip, and a plurality of stacked interlevel dielectric (“ILD”) layers are formed to overlie the plurality of FEOL devices, the plurality of stacked ILD layers including a first ILD layer and a second ILD layer, where the second ILD layer is resistant to attack by a first etchant which attacks the first ILD layer. A passive device is formed to overlie at least the first ILD layer. Using the first etchant, a portion of the first ILD layer in registration with the passive device is removed to form an air gap which underlies the passive device in registration with the passive device.
    Type: Grant
    Filed: January 24, 2007
    Date of Patent: February 16, 2010
    Assignee: International Business Machines Corporation
    Inventors: Anthony K. Stamper, Anil K. Chinthakindi, Douglas D. Coolbaugh, Timothy J. Dalton, Daniel C. Edelstein, Ebenezer E. Eshun, Jeffrey P. Gambino, William J. Murphy, Kunal Vaed
  • Publication number: 20100022095
    Abstract: This invention relates to a process for selective removal of materials, such as: silicon, molybdenum, tungsten, titanium, zirconium, hafnium, vanadium, tantalum, niobium, boron, phosphorus, germanium, arsenic, and mixtures thereof, from silicon dioxide, silicon nitride, nickel, aluminum, TiNi alloy, photoresist, phosphosilicate glass, boron phosphosilicate glass, polyimides, gold, copper, platinum, chromium, aluminum oxide, silicon carbide and mixtures thereof. The process is related to the important applications in the cleaning or etching process for semiconductor deposition chambers and semiconductor tools, devices in a micro electro mechanical system (MEMS), and ion implantation systems. Methods of forming XeF2 by reacting Xe with a fluorine containing chemical are also provided, where the fluorine containing chemical is selected from the group consisting of F2, NF3, C2F6, CF4, C3F8, SF6, a plasma containing F atoms generated from an upstream plasma generator and mixtures thereof.
    Type: Application
    Filed: January 27, 2009
    Publication date: January 28, 2010
    Applicant: Air Products and Chemicals, Inc.
    Inventors: Dingjun Wu, Eugene Joseph Karwacki, JR., Anupama Mallikarjunan, Andrew David Johnson
  • Patent number: 7645706
    Abstract: An electronic substrate manufacturing method includes: forming a wiring pattern on a substrate; providing a mask with an opening for the substrate on which the wiring pattern has been formed; performing a specified treatment in a part area of the wiring pattern through the opening of the mask. The opening has a size based on an accuracy of an alignment between the substrate and the mask.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: January 12, 2010
    Assignee: Seiko Epson Corporation
    Inventor: Nobuaki Hashimoto
  • Publication number: 20100003827
    Abstract: In a method and device for etching a substrate by a plasma, the plasma is generated and accelerated at substantially sub-atmospheric pressure between a cathode and an anode of a plasma source (1) in a channel of system of at least one conductive cascaded plate between the cathode and anode. The plasma is released from the plasma source to a treatment chamber (2) in which the substrate (9) is exposed to the plasma. The treatment chamber is sustained at a reduced, near vacuum pressure during operation.
    Type: Application
    Filed: July 12, 2007
    Publication date: January 7, 2010
    Applicant: TECHNISCHE UNIVERSITEIT EINDHOVEN
    Inventors: Wilhelmus Mathijs Marie Kessels, Mauritius Cornelis Van De Sanden, Michiel Alexander Blauw, Freddy Roozeboom
  • Patent number: 7635016
    Abstract: In a board cleaning method for dry cleaning of connection sites on resin-based boards, one or more gases selected from a group consisting of gas that contains a hydrogen element and gas that contains a fluorine element are supplied at least to the connection sites, plasma is generated from the supplied gas, and the boards are cleaned by radicals and ions that are produced by the generated plasma.
    Type: Grant
    Filed: October 7, 2002
    Date of Patent: December 22, 2009
    Assignee: Panasonic Corporation
    Inventors: Naoki Suzuki, Youichi Nakamura, Kazuyuki Tomita
  • Publication number: 20090311870
    Abstract: Provided is a plasma etching method capable of controlling an etching shape readily and properly during a plasma etching process. The plasma etching method includes: holding a semiconductor substrate W on a holding table 14 installed in a processing chamber 12; generating a microwave for plasma ignition; generating plasma in the processing chamber 12 by setting a gap between the dielectric plate 16 and the holding table 14 to be equal to or greater than about 100 mm and setting a pressure inside the processing chamber 12 to be equal to or higher than about 50 mTorr, and introducing the microwave into the processing chamber 12 via the dielectric plate 16; and performing a plasma etching process on the semiconductor substrate W by the plasma generated by supplying a reactant gas for plasma etching process into the processing chamber 12.
    Type: Application
    Filed: June 11, 2009
    Publication date: December 17, 2009
    Applicant: Tokyo Electron Limited
    Inventor: Masaru SASAKI
  • Patent number: 7622394
    Abstract: The method of fabricating a semiconductor device includes subjecting a semiconductor substrate to trench etching by alternately repeating an etching step and a deposition step. The etching step creates a trench structure by dry-etching the exposed surface of the semiconductor substrate. An etching mask is formed on the surface of the semiconductor substrate so that the semiconductor substrate has the exposed portion. The deposition step deposits a protection film for suppressing etching of the trench side walls. The method of fabricating a semiconductor device also includes subjecting the semiconductor substrate that has just undergone the trench etching to a heat treatment at a predetermined temperature. The semiconductor substrate is heat-treated within a temperature range of 300 to 500° C. immediately following the trench etching, for example. Plasma ashing is then performed.
    Type: Grant
    Filed: March 29, 2006
    Date of Patent: November 24, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Naokatsu Ikegami
  • Patent number: 7615494
    Abstract: A method for fabricating a semiconductor device includes forming an insulation layer over a substrate, etching the insulation layer using a hard mask pattern to form a contact hole, filling the contact hole with a conductive layer, etching the conductive layer to form a plug in the contact hole, removing the remaining hard mask pattern to expose an upper portion of the plug and have the upper portion protrude above the insulation layer, and forming a metal line over the protruding plug and around the upper portion of the plug.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: November 10, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Ky-Hyun Han, Ki-Won Nam
  • Patent number: 7615461
    Abstract: A method for forming a shallow trench isolation (STI) of a semiconductor device comprises forming a nitride film pattern over a semiconductor substrate having a defined lower structure, etching a predetermined thickness of the semiconductor substrate using the nitride film pattern as a mask to form a trench having a vertical sidewall in a portion of the substrate predetermined to be a device isolation region, performing a plasma treatment process on the sidewall of the trench to form a plasma oxide film, forming an oxide film over the resulting structure to fill the trench, and performing a planarization process over the resulting structure.
    Type: Grant
    Filed: November 26, 2007
    Date of Patent: November 10, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Seung Bum Kim, Jong Kuk Kim
  • Patent number: 7605090
    Abstract: A layer structure and process for providing sublithographic structures are provided. A first auxiliary layer is formed over a surface of a carrier layer. A lithographically patterned second auxiliary layer structure is formed on a surface of the first auxiliary layer. The first auxiliary layer is anisotropically etched using the patterned second auxiliary layer structure as mask to form an anisotropically patterned first auxiliary layer structure. The anisotropically patterned first auxiliary layer structure is isotropically etched back using the patterned second auxiliary layer structure to remove subsections below the second auxiliary layer structure and to form an isotropically patterned first auxiliary layer structure. A mask layer is formed over the carrier layer including the subsections beneath the second auxiliary layer structure and is anisotropically etched down to the carrier layer to form the sublithographic structures.
    Type: Grant
    Filed: February 23, 2006
    Date of Patent: October 20, 2009
    Assignee: Infineon Technologies AG
    Inventors: Martin Gutsche, Harald Seidl
  • Publication number: 20090258502
    Abstract: A method for selectively etching a high-k dielectric layer with respect to a polysilicon material is provided. The high-k dielectric layer is partially removed by Ar sputtering, and then the high-k dielectric layer is etched using an etching gas comprising BCl3. The high-k dielectric layer and the polysilicon material may be formed on a substrate. In order to partially remove the high-k dielectric layer, a sputtering gas containing Ar is provided into an etch chamber in which the substrate is placed, a plasma is generated from the sputtering gas, and then the sputtering gas is stopped. In order to etch the high-k dielectric layer, the etching gas is provided into the etch chamber, a plasma is generated from the etching gas, and then the etching gas is stopped.
    Type: Application
    Filed: April 10, 2009
    Publication date: October 15, 2009
    Applicant: LAM RESEARCH CORPORATION
    Inventors: In Deog BAE, Qian FU, Wonchul LEE, Shenjian LIU
  • Publication number: 20090246965
    Abstract: Provided is an etching method capable of increasing a selectivity of a polysilicon film with respect to a silicon oxide film and suppressing the formation of recesses in a silicon base material. A wafer includes a gate oxide film, a polysilicon film and a hard mask film having an opening sequentially formed on a silicon base material, and has a native oxide film in a trench of the polysilicon film corresponding to the opening formed thereon. The native oxide film is etched, so that the polysilicon film is exposed at a bottom portion of the trench. An ambient pressure is set to be 13.3 Pa, and O2 gas, HBr gas and Ar gas are supplied to a processing space, and a frequency of bias voltage is set to be 13.56 MHz, so that the polysilicon film is etched by the plasma generated from the HBr gas to be completely removed.
    Type: Application
    Filed: March 25, 2009
    Publication date: October 1, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takuya Mori, Masahiko Takahashi
  • Patent number: 7592262
    Abstract: A method for manufacturing MOS transistor with hybrid hard mask includes providing a substrate having a dielectric layer and a polysilicon layer thereon, forming a hybrid hard mask having a middle hard mask and a spacer hard mask covering sidewalls of the middle hard mask on the polysilicon layer, performing a first etching process to etch the polysilicon layer and the dielectric layer through the hybrid hard mask to form a gate structure, performing a second etching process to form recesses in the substrate at two sides of the gate structure, and performing a SEG process to form epitaxial silicon layers in each recess.
    Type: Grant
    Filed: March 21, 2007
    Date of Patent: September 22, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Hui-Ling Huang, Ming-Shing Chen, Nien-Chung Li, Li-Shiun Chen, Hsin Tai
  • Patent number: 7592263
    Abstract: A method of manufacturing a semiconductor device. In this method, a concave portion is formed in one surface in the thickness direction of a primary base plate comprising a semiconductor substrate with a relatively large thickness dimension. Then, through-holes are formed by a reactive-ion etching process using as a mask an opening formed in an oxide film provided on the other surface in the thickness direction of the primary base plate. The opening has a narrow width in a region corresponding to the concave portion and a wide width in the remaining region. Thus, respective times necessary for the wide-width through-hole to penetrate through the primary base plate and necessary for the narrow-width through-hole to reach a bottom surface of the concave portion can be approximately equalized to complete the common etching process of the wide-width through-hole and the narrow-width through-hole approximately simultaneously.
    Type: Grant
    Filed: March 15, 2005
    Date of Patent: September 22, 2009
    Assignee: Panasonic Electric Works Co., Ltd.
    Inventors: Kazuo Gouda, Koji Tsuji, Masao Kirihara, Youichi Nishijima
  • Publication number: 20090221148
    Abstract: A plasma etching method includes etching a single crystalline silicon layer of a substrate to be processed through a patterned upper layer formed on the single crystalline silicon layer by using a plasma of a processing gas, wherein forming a protection film at a sidewall portion of the upper layer by using a plasma of a carbon-containing gas is carried out before said etching the single crystalline silicon layer.
    Type: Application
    Filed: February 26, 2009
    Publication date: September 3, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shuichiro Uda, Yusuke Hirayama
  • Patent number: 7576010
    Abstract: A method of forming a first hard mask pattern including a plurality of first line patterns formed on the etch target layer in a first direction and having a first pitch. A third layer is formed on sidewalls and an upper surface of the first hard mask pattern, such that the third layer includes a top surface having a recess formed between two adjacent first line patterns. A second hard mask pattern including a plurality of second line patterns each extending in the first direction within the recess is formed. Then, the third layer is anisotropically etched to selectively expose an etch target layer between the first line patterns and the second line patterns. Then, the etch target layer is anisotropically etched using the first hard mask pattern and the second hard mask pattern as an etch mask.
    Type: Grant
    Filed: January 30, 2007
    Date of Patent: August 18, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-young Lee, Hak-sun Lee, Myeong-cheol Kim, Kyung-yub Jeon
  • Publication number: 20090203218
    Abstract: A plasma etching method includes etching an etching target layer formed on a substrate to be processed by a plasma of a processing gas by using an ArF photoresist as a mask. The etching target layer is a silicon nitride layer or silicon oxide layer, and the processing gas contains at least a CF3I gas. A high frequency power having a frequency of 13.56 MHz or less is applied to a lower electrode mounting the substrate thereon.
    Type: Application
    Filed: February 11, 2009
    Publication date: August 13, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shoichiro Matsuyama, Masanobu Honda
  • Publication number: 20090203219
    Abstract: A plasma etching method includes etching a silicon layer formed on a substrate to be processed through a patterned mask layer by using a plasma of a processing gas. The processing gas contains at least a CF3I gas, and during said etching the silicon layer, a radio frequency power is applied to a lower electrode mounting the substrate thereon such that a self-bias voltage Vdc for accelerating ions in the plasma is equal to or smaller than 200 V.
    Type: Application
    Filed: February 12, 2009
    Publication date: August 13, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shoichiro MATSUYAMA, Masanobu Honda
  • Publication number: 20090197423
    Abstract: A substrate processing method that can eliminate unevenness in the distribution of plasma. The method is for a substrate processing apparatus that has a processing chamber in which a substrate is housed, a mounting stage that is disposed in the processing chamber and on which the substrate is mounted, and an electrode plate that is disposed in the processing chamber such as to face the mounting stage, the electrode plate being made of silicon and connected to a radio-frequency power source, and carries out plasma processing on the substrate. In the plasma processing, the temperature of the electrode plate is measured, and based on the measured temperature, the temperature of the electrode plate is maintained lower than a critical temperature at which the specific resistance value of the silicon starts changing.
    Type: Application
    Filed: February 2, 2009
    Publication date: August 6, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Chishio KOSHIMIZU, Taichi HIRANO, Masanobu HONDA, Shinji HIMORI
  • Patent number: 7560387
    Abstract: Methods for opening a hard mask and a silicon-on-insulator substrate in a single process chamber are disclosed. In one embodiment, the method includes patterning a photoresist over a stack including an anti-reflective coating (ARC) layer, a silicon dioxide (SiO2) based hard mask layer, a silicon nitride pad layer, a silicon dioxide (SiO2) pad layer and the SOI substrate, wherein the SOI substrate includes a silicon-on-insulator layer and a buried silicon dioxide (SiO2) layer; and in a single process chamber: opening the ARC layer; etching the silicon dioxide (SiO2) based hard mask layer; etching the silicon nitride pad layer; etching the silicon dioxide (SiO2) pad layer; and etching the SOI substrate. Etching all layers in a single chamber reduces the turn-around-time, lowers the process cost, facilitates process control and/or improve a trench profile.
    Type: Grant
    Filed: January 25, 2006
    Date of Patent: July 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Scott D. Allen, Kangguo Cheng, Xi Li, Kevin R. Winstel
  • Publication number: 20090176375
    Abstract: Methods and an etch gas composition for etching a contact opening in a dielectric layer are provided. Embodiments of the method use a plasma generated from an etch gas composed of C4F8 and/or C4F6, an oxygen source, and a carrier gas in combination with tetrafluoroethane (C2F4) or a halofluorocarbon analogue of C2F4.
    Type: Application
    Filed: January 4, 2008
    Publication date: July 9, 2009
    Inventors: Russell A. Benson, Ted Taylor, Mark Kiehlbauch
  • Publication number: 20090156012
    Abstract: Methods for forming dual damascene structures in low-k dielectric materials that facilitate reducing photoresist poison issues are provided herein. In some embodiments, such methods may include plasma etching a via through a first mask layer into a low-k dielectric material disposed on a substrate. The first mask layer may then be removed using a process including exposing the first mask layer to a first plasma comprising an oxygen containing gas and at least one of a dilutant gas or a passivation gas, and subsequently exposing the first mask layer to a second plasma comprising an oxygen containing gas and formed using one of either plasma bias power or plasma source power. An anti-reflective coating may then be deposited into the via and atop the low-k dielectric material. A trench may then be plasma etched through a second mask layer formed atop the anti-reflective coating into the low-k dielectric material.
    Type: Application
    Filed: December 12, 2007
    Publication date: June 18, 2009
    Applicant: APPLIED MATERIALS, INC.
    Inventors: CHANG-LIN HSIEH, BINXI GU, JIE YUAN, HUI XIONG DAI, ROBIN CHEUNG, SUBHASH DESHMUKH
  • Patent number: 7544622
    Abstract: A contact is defined by an opening etched into borophosphosilicate glass (BPSG) down to a silicon substrate. In a contact cleaning process designed to remove native oxide at the bottom of the contact with little effect on the BPSG, the contact is dipped in an etch retardant before being dipped in a cleaning solution containing both the etch retardant and an etchant. The dip in etch retardant modifies the surface of the BPSG, thereby lessening the enhanced etching experienced during the initiation of the dip into the etchant/etch retardant cleaning solution. Results of a etchant/etch retardant clean, both with and without the prepassivation, can be illustrated on a graph depicting the change in contact diameter as a function of dip time. Specifically, the results define “best fit” lines on that graph.
    Type: Grant
    Filed: November 15, 2002
    Date of Patent: June 9, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Satish Bedge
  • Patent number: 7541286
    Abstract: A semiconductor device manufacturing method using a KrF light source is disclosed. Embodiments relate to a method for manufacturing a semiconductor device including forming an oxide film over a semiconductor substrate. A gate conductor may be formed over the oxide film. An antireflective film may be formed over the gate conductor. A photoresist film may be formed over the antireflective film. The photoresist film may be photo-etched, thereby forming a first photoresist film pattern having a first line width. The antireflective film may be etched, using the first photoresist film pattern as a mask, thereby forming an antireflective film pattern. The first photoresist film pattern may be simultaneously laterally etched, thereby forming a second photoresist film pattern having a second line width corresponding to a final design value for the gate conductor.
    Type: Grant
    Filed: August 29, 2007
    Date of Patent: June 2, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Chang-Myung Lee