Silicon Nitride Patents (Class 438/724)
-
Patent number: 8012877Abstract: Exemplary embodiments provide a method for fabricating an integrated circuit (IC) device with reduced streak defects. In one embodiment, the IC device structure can be formed having a first pad oxide-based layer on a front side of a semiconductor substrate and having an oxide-nitride-based structure on a backside of the semiconductor substrate. The IC device structure can be etched to remove a nitride-related material from the backside oxide-nitride-based structure, and further to remove the first pad oxide-based layer from the front side of the semiconductor substrate. On the removed front side of the semiconductor substrate a second pad oxide-based layer can be formed, e.g., for forming an isolation structure for device component or circuitry isolation.Type: GrantFiled: November 19, 2008Date of Patent: September 6, 2011Assignee: Texas Instruments IncorporatedInventor: Scott Cuong Nguyen
-
Patent number: 8008212Abstract: Fabrication methods for integrating CMOS and BJT devices are presented. A semiconductor substrate having a first region and a second region are provided, wherein the first region includes a CMOS device, and the second region includes a BJT device. A dielectric layer is conformably deposited on the semiconductor substrate. Part of the dielectric layer is removed, thereby forming sidewall spacers on a gate structure of the CMOS device and remaining a thin dielectric layer on the BJT device. The remaining thin dielectric layer is completely removed, completing integration of the CMOS device and the BJT device.Type: GrantFiled: November 25, 2008Date of Patent: August 30, 2011Assignee: Vanguard International Semiconductor CorporationInventors: Chien-Hsien Song, Yung-Lung Chou, Yu-Hsun Chen, Cheng-Che Tsai
-
Patent number: 7988873Abstract: A method of forming a mask pattern for fabricating a semiconductor device. A first region and a second region, having an intersecting third region, are defined in the semiconductor substrate. An inorganic mask layer is etched in the first region to a predetermined thickness, and etched in the second region to another predetermined thickness. While the inorganic mask layer is etched in the first and second region, an organic mask layer is exposed in the third region. The organic mask layer exposed in the third region is removed to form a mask pattern. Consequently, double exposure is performed using the organic mask layer and the inorganic mask layer, so that a fine feature size that closely follows a desired layout can be formed, damage to the organic mask layer by ashing is prevented, and adhesiveness between the organic mask layer and the inorganic mask layer can be improved.Type: GrantFiled: June 26, 2007Date of Patent: August 2, 2011Assignee: Samsung Electronics Co., Ltd.Inventors: Hyong-Soo Kim, Sang-Hyeop Lee
-
Patent number: 7989353Abstract: Method for operating a processing system and refurbishing a ceramic substrate holder within a process chamber of the processing system are described. The method includes plasma processing one or more substrates on the ceramic substrate holder, where the processing causes erosion of a nitride material of the ceramic substrate holder. The method further includes refurbishing the ceramic substrate holder in-situ without a substrate residing on the ceramic substrate holder, where the refurbishing includes exposing the ceramic substrate holder to a plasma-excited nitrogen-containing gas in the process chamber to at least partially reverse the erosion of the nitride material.Type: GrantFiled: January 2, 2008Date of Patent: August 2, 2011Assignee: Tokyo Electron LimitedInventors: Tadahiro Ishizaka, Kentaro Asakura, Masanao Ando, Toshio Hasegawa
-
Patent number: 7981308Abstract: A method of etching a device in one embodiment includes providing a silicon carbide substrate, forming a silicon nitride layer on a surface of the silicon carbide substrate, forming a silicon carbide layer on a surface of the silicon nitride layer, forming a silicon dioxide layer on a surface of the silicon carbide layer, forming a photoresist mask on a surface of the silicon dioxide layer, and etching the silicon dioxide layer through the photoresist mask.Type: GrantFiled: December 31, 2007Date of Patent: July 19, 2011Assignee: Robert Bosch GmbHInventor: Gary Yama
-
Patent number: 7981806Abstract: A method for forming a trench includes providing a substrate, and forming the trench in the substrate using a gas containing chlorine (Cl2) gas as a main etch gas and SiFX gas as an additive gas, wherein a sidewall of the trench has a substantially vertical profile by virtue of reaction of the Cl2 gas and the SiFX gas.Type: GrantFiled: November 30, 2007Date of Patent: July 19, 2011Assignee: Hynix Semiconductor Inc.Inventor: Tae-Woo Jung
-
Patent number: 7977249Abstract: Methods for removing silicon nitride and elemental silicon during contact preclean process involve converting these materials to materials that are more readily etched by fluoride-based etching methods, and subsequently removing the converted materials by a fluoride-based etch. Specifically, silicon nitride and elemental silicon may be treated with an oxidizing agent, e.g., with an oxygen-containing gas in a plasma, or with O2 or O3 in the absence of plasma to produce a material that is more rich in Si—O bonds and is more easily etched with a fluoride-based etch. Alternatively, silicon nitride or elemental silicon may be doped with a number of doping elements, e.g., hydrogen, to form materials which are more easily etched by fluoride based etches. The methods are particularly useful for pre-cleaning contact vias residing in a layer of silicon oxide based material because they minimize the unwanted increase of critical dimension of contact vias.Type: GrantFiled: March 7, 2008Date of Patent: July 12, 2011Assignee: Novellus Systems, Inc.Inventors: Xinye Liu, Yu Yang, Chiukin Steven Lai
-
Patent number: 7964510Abstract: A method for forming a pattern of a semiconductor device includes: forming a first mask film and a second mask film over an underlying layer; partially etching the first and second mask films using a photoresist mask pattern as an etching mask to form a intermediate mask pattern having a protrusion shape and including first and second mask film layers, over a remaining portion of the first mask film; forming a first spacer at sidewalls of the intermediate mask pattern etching the remaining portion of the first mask film and the first mask film layer of the intermediate mask pattern using the first spacer and the second mask film layer of the intermediate mask pattern as an etching mask to expose the underlying layer and form a mask pattern having first and second mask film layers; forming a second spacer at sidewalls of the mask pattern; and removing the mask pattern to form a symmetrical spacer pattern.Type: GrantFiled: December 29, 2008Date of Patent: June 21, 2011Assignee: Hynix Semiconductor Inc.Inventor: Jung Gun Heo
-
Patent number: 7943524Abstract: Silicon oxide film having, as a sublayer, a silicon nitride film layer serving as a protective film layer for 5 gate formed on silicon substrate is etched by introducing a processing gas including a gaseous mixture containing at least C4F6, Ar, O2 and N2 into an airtight processing chamber and carrying out a plasma treatment in a self-alignment contact process, thereby forming contact hole. For the 10 processing gas, e.g., the ratio of N2 gas flow rate to C4F6 gas flow rate ranges from 25/8 to 85/8, the ratio of O2 and N2 gas flow rate to C4F6 gas flow rate ranges from 15/4 to 45/4 and the ratio of N2 gas flow rate to O2 gas flow rate ranges from 5 to 17. Accordingly, stable contact holes of 15 high aspect ratio exhibiting desirable control characteristics is formed while minimizing etching the silicon nitride film, a protective film layer for gate.Type: GrantFiled: July 20, 2007Date of Patent: May 17, 2011Assignee: Tokyo Electrons LimitedInventors: Noriyuki Kobayashi, Kenji Adachi
-
Patent number: 7939451Abstract: A method for fabricating a patter is provided as followed. First, a material layer is provided, whereon a patterned hard mask layer is formed. A spacer is deposited on the sidewalls of the patterned hard mask layer. Then, the patterned hard mask layer is removed, and an opening is formed between the adjacent spacers. Afterwards, a portion of the material layer is removed to form a patterned material layer by using the spacer as mask.Type: GrantFiled: June 7, 2007Date of Patent: May 10, 2011Assignee: Macronix International Co., Ltd.Inventors: Shih-Chang Tsai, Chun-Hung Lee, Ming-Cheng Deng, Ta-Hung Yang
-
Patent number: 7928000Abstract: A method for processing integrated circuit devices including forming self aligned contact regions. The method includes providing a partially completed semiconductor wafer, the wafer including one or more semiconductor chips, where each of the chips including a plurality of MOS gate structures. Each of the gate structures is formed on a substrate and having a first layer of silicon nitride formed overlying portions including a contact region between the gate structures. Each of the chips has conformal layer of doped silicon glass of a predetermined thickness overlying the silicon nitride layer and the gate structures. The method then applies a plasma etching process to the doped silicon glass to expose a portion of the first silicon nitride layer using an anisotropic etching component to vertically remove portions of the doped silicon glass. A step of cleaning the exposed portion of silicon nitride using an isotropic component is also included.Type: GrantFiled: April 5, 2007Date of Patent: April 19, 2011Assignee: Semiconductor Manufacturing International (Shanghai) CorporationInventors: Jin Kang, Mingching Wang
-
Patent number: 7915175Abstract: A method of forming a semiconductor structure comprises etching an anti-reflective coating on a substrate with a first plasma comprising bromine and oxygen; and etching a nitride layer on the substrate with a second plasma comprising bromine and oxygen.Type: GrantFiled: June 27, 2005Date of Patent: March 29, 2011Assignee: Cypress Semiconductor CorporationInventors: Saurabh Dutta Chowdhury, Helena Stadniychuk
-
Publication number: 20110021031Abstract: A method of increasing mean time between cleans of a plasma etch chamber and chamber parts lifetimes is provided. Semiconductor substrates are plasma etched in the chamber while using at least one sintered silicon nitride component exposed to ion bombardment and/or ionized halogen gas. The sintered silicon nitride component includes high purity silicon nitride and a sintering aid consisting of silicon dioxide. A plasma processing chamber is provided including the sintered silicon nitride component. A method of reducing metallic contamination on the surface of a silicon substrate during plasma processing is provided with a plasma processing apparatus including one or more sintered silicon nitride components. A method of manufacturing a component exposed to ion bombardment and/or plasma erosion in a plasma etch chamber, comprising shaping a powder composition consisting of high purity silicon nitride and silicon dioxide and densifying the shaped component.Type: ApplicationFiled: October 27, 2008Publication date: January 27, 2011Inventors: Travis R. Taylor, Mukund Srinivasan, Bobby Kadkhodayan, K.Y. Ramanujam, Biljana Mikijelj, Shanghua Wu
-
Patent number: 7855149Abstract: Provided may be a treatment method to remove defects created on the surface of a substrate, a method of fabricating an image sensor by using the treatment method, and an image sensor fabricated by the same. The treatment method may include providing a semiconductor substrate including a surface defect, providing a chemical solution to a surface of the semiconductor substrate, and removing the surface defect by consuming the surface of the semiconductor substrate and forming a chemical oxide layer on the semiconductor substrate.Type: GrantFiled: January 16, 2009Date of Patent: December 21, 2010Assignee: Samsung Electronics Co., Ltd.Inventors: Gi-Bum Kim, Hyun-Pil Noh
-
Patent number: 7851277Abstract: An object is to reduce the adverse influence which a portion of a gate insulating layer where the thickness has decreased, that is, a step portion, has on semiconductor element characteristics so that the reliability of the semiconductor element is improved. A semiconductor layer is formed over an insulating surface; a side surface of the semiconductor layer is oxidized using wet oxidation to form a first insulating layer; a second insulating layer is formed over the semiconductor layer and the first insulating layer; and a gate electrode is formed over the semiconductor layer and the first insulating layer with the second insulating layer interposed therebetween.Type: GrantFiled: December 3, 2007Date of Patent: December 14, 2010Assignee: Semiconductor Energy laboratory Co., Ltd.Inventor: Hideto Ohnuma
-
Patent number: 7846846Abstract: High aspect ratio contact openings are etched while preventing bowing or bending of the etch profile by forming a highly conductive thin film on the side wall of each contact opening. The conductivity of the thin film on the side wall is enhanced by ion bombardment carried out periodically during the etch process.Type: GrantFiled: September 25, 2007Date of Patent: December 7, 2010Assignee: Applied Materials, Inc.Inventors: Kallol Bera, Kenny L. Doan, Stephan Wege, Subhash Deshmukh
-
Patent number: 7846843Abstract: A process for manufacturing a semiconductor device using a spacer as an etch mask for forming a fine pattern is described. The process includes forming a hard mask layer over a target layer that is desired to be etched. A sacrificial layer pattern is subsequently formed over the hard mask layer. Spacers are formed on the sidewalls of the sacrificial layer pattern. The protective layer is formed on the hard mask layer portions between the sacrificial patterns formed with the spacer. The sacrificial layer pattern and the protective layer are then later removed, respectively. The hard mask layer is etched using the spacer as an etching mask. After etching, the spacer is removed. Finally, the target layer is etched using the etched hard mask as an etching mask.Type: GrantFiled: November 13, 2007Date of Patent: December 7, 2010Assignee: Hynix Semiconductor Inc.Inventors: Chai O Chung, Jong Min Lee, Chan Bae Kim, Hyeon Ju An, Hyo Seok Lee, Sung Kyu Min
-
Patent number: 7842618Abstract: A method for forming a memory device is provided. A nitride layer is formed over a substrate. The nitride layer and the substrate are etched to form a trench. The nitride layer is trimmed on opposite sides of the trench to widen the trench within the nitride layer. The trench is filled with an oxide material. The nitride layer is stripped from the memory device, forming a mesa above the trench.Type: GrantFiled: August 1, 2005Date of Patent: November 30, 2010Assignees: Spansion LLC, Advanced Micro Devices, Inc.Inventors: Unsoon Kim, Angela T. Hui, Yider Wu, Kuo-Tung Chang, Hiroyuki Kinoshita
-
Patent number: 7803673Abstract: A method of manufacturing a thin film transistor (“TFT”) substrate includes forming a gate insulating film and an active layer on a substrate, forming a data metal layer including a first, second, and third metal layers on the active layer, forming a first photoresist pattern on the data metal layer, dry-etching the third metal layer by using the first photoresist pattern, simultaneously dry-etching the second and first metal layers by using the first photoresist pattern, dry-etching the active layer by using the first photoresist pattern, etching the first photoresist pattern to form a second photoresist pattern by which the channel region is removed and forming a source electrode and a drain electrode by dry-etching the channel region of the data metal layer by using the second photoresist pattern.Type: GrantFiled: October 12, 2007Date of Patent: September 28, 2010Assignee: Samsung Electronics Co., Ltd.Inventors: Duck-Jung Lee, Dae-Ho Song, Kyung-Seop Kim, Yong-Eui Lee
-
Patent number: 7790627Abstract: A method of manufacturing a metal compound thin film is disclosed. The method may include forming a first metal compound layer on a substrate by atomic layer deposition, performing annealing on the first metal compound layer in an atmosphere containing a nitrogen compound gas, thereby diffusing nitrogen into the first metal compound layer, and forming a second metal compound layer on the first metal compound layer by atomic layer deposition.Type: GrantFiled: December 11, 2007Date of Patent: September 7, 2010Assignee: Rohm Co., Ltd.Inventors: Kunihiko Iwamoto, Toshihide Nabatame, Koji Tominaga, Tetsuji Yasuda
-
Patent number: 7790620Abstract: A method for fabricating a semiconductor device is provided. The method includes: forming device isolation layers on a substrate; sequentially forming an anti-reflective coating layer and a photoresist layer on the substrate; patterning the anti-reflective coating layer and the photoresist layer to expose substrate regions in which active regions of a metal oxide semiconductor (MOS) transistor will be formed; and recessing the exposed substrate regions in a predetermined thickness.Type: GrantFiled: December 20, 2005Date of Patent: September 7, 2010Assignee: Hynix Semiconductor Inc.Inventors: Ki-Won Nam, Jae-Young Kim
-
Patent number: 7780865Abstract: Methods of controlling the step coverage and pattern loading of a layer on a substrate are provided. The dielectric layer may be a silicon nitride, silicon oxide, or silicon oxynitride layer. The method comprises depositing a dielectric layer on a substrate having at least one formed feature across a surface of the substrate and etching the dielectric layer with a plasma from oxygen or a halogen-containing gas to provide a desired profile of the dielectric layer on the at least one formed feature. The deposition of the dielectric layer and the etching of the dielectric layer may be repeated for multiple cycles to provide the desired profile of the dielectric layer.Type: GrantFiled: March 29, 2007Date of Patent: August 24, 2010Assignee: Applied Materials, Inc.Inventors: Mihaela Balseanu, Li-Qun Xia, Mei-Yee Shek, Hichem M'Saad
-
Patent number: 7759253Abstract: A method of lithography patterning includes forming a first material layer on a substrate; forming a first patterned resist layer including at least one opening therein on the first material layer; forming a second material layer on the first patterned resist layer and the first material layer; forming a second patterned resist layer including at least one opening therein on the second material layer; and etching the first and second material layers uncovered by the first and second patterned resist layers.Type: GrantFiled: November 28, 2006Date of Patent: July 20, 2010Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventor: Ching-Yu Chang
-
Patent number: 7732342Abstract: Compressive stress in a film of a semiconductor device may be controlled utilizing one or more techniques, employed alone or in combination. A first set of embodiments increase silicon nitride compressive stress by adding hydrogen to the deposition chemistry, and reduce defects in a device fabricated with a high compressive stress silicon nitride film formed in the presence of hydrogen gas. A silicon nitride film may comprise an initiation layer formed in the absence of a hydrogen gas flow, underlying a high stress nitride layer formed in the presence of a hydrogen gas flow. A silicon nitride film formed in accordance with an embodiment of the present invention may exhibit a compressive stress of 2.8 GPa or higher.Type: GrantFiled: April 5, 2006Date of Patent: June 8, 2010Assignee: Applied Materials, Inc.Inventors: Mihaela Balseanu, Li-Qun Xia, Vladimir Zubkov, Mei-Yee Shek, Isabelita Rolfox, Hichem M'Saad
-
Patent number: 7732337Abstract: A method for manufacturing a shallow trench isolation (STI) structure is provided. In the method, a substrate is initially provided. Then, a patterned pad layer and a patterned mask layer are successively formed in order on the substrate. After that, a portion of the substrate is removed by using the patterned mask layer and the patterned pad layer as a mask to form trenches in the substrate. Next, a first insulation layer is formed in the trenches. Afterwards, a protection layer is conformally formed on the substrate. Then, a second insulation layer is formed on the protection layer above the first insulation layer. Next, the patterned mask layer and the patterned pad layer are removed. Finally, a portion of the protection layer and the second insulation layer are removed.Type: GrantFiled: August 6, 2007Date of Patent: June 8, 2010Assignee: Nanya Technology CorporationInventors: Jiann-Jong Wang, Chi-Long Chung
-
Patent number: 7709392Abstract: A method of removing a silicon nitride or a nitride-based bottom etch stop layer in a copper damascene structure by etching the bottom etch stop layer is disclosed, with the method using a high density, high radical concentration plasma containing fluorine and oxygen and further optionally N2 and any one of inert gases, to minimize back sputtering of copper underlying the bottom etch stop layer and surface roughening of the low-k interlayer dielectric caused by the plasma.Type: GrantFiled: July 17, 2006Date of Patent: May 4, 2010Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Hun-Jan Tao, Ryan Chia-Jen Chen, Mong-Song Liang
-
Patent number: 7709393Abstract: A method for manufacturing a semiconductor device is provided. In particular, a method for removing unwanted material layers from an edge and lower bevel region of a wafer is provided. The method includes performing a first etch of an edge region of a wafer having material layers formed thereon, coating the wafer with a photoresist layer, and patterning the photoresist layer to expose at least the edge and an upper bevel region of the wafer for etching the material layers remaining after performing the first etch.Type: GrantFiled: December 18, 2006Date of Patent: May 4, 2010Assignee: Dongbu Electronics Co., Ltd.Inventor: In Su Kim
-
Patent number: 7704885Abstract: A method for fabricating a semiconductor device is provided. The method of fabricating a semiconductor device provides a semiconductor substrate; forming a first insulating layer, a first conductive layer and a chemical mechanical polishing (CMP) stop layer over the semiconductor substrate in sequence; forming openings in the chemical mechanical polishing (CMP) stop layer and the underlying first conductive layer to expose the first insulating layer, thereby leaving a patterned chemical mechanical polishing (CMP) stop layer and a patterned first conductive layer; forming a second insulating layer on the patterned chemical mechanical polishing (CMP) stop layer, filling in the openings; performing a planarization process to remove a portion of the second insulating layer until the patterned chemical mechanical polishing (CMP) stop layer is exposed, thereby leaving a remaining second insulating layer in the openings; removing the patterned chemical mechanical polishing (CMP) stop layer.Type: GrantFiled: May 24, 2007Date of Patent: April 27, 2010Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Kern-Huat Ang, Po-Jen Wang
-
Patent number: 7696094Abstract: A method for forming a semiconductor device may include forming a silicon oxynitride mask layer over a first layer. The first layer may be etched using the silicon oxynitride mask layer, to form a pattern in the first layer. The pattern may be filled with a dielectric material. The dielectric material may be planarized using a ceria-based slurry and using the silicon oxynitride mask layer as a stop layer.Type: GrantFiled: December 27, 2006Date of Patent: April 13, 2010Assignees: Spansion LLC, Advanced Micro Devices, Inc.Inventors: David Matsumoto, Michael Brennan, Vidyut Gopal, Jean Yang
-
Patent number: 7687404Abstract: In a method for manufacturing a display device having a light emitting element, a first base insulating film, a second base insulating film, a semiconductor layer, and a gate insulating film are formed in this order over a substrate. A gate electrode is formed over the gate insulating film to overlap with at least a part of the semiconductor layer, and a portion to be a pixel portion of the gate insulating film and the second base insulating film is doped with at least one conductive type impurities. An opening portion is formed by selectively etching the gate insulating film and second base insulating film that are each doped with impurities. The first base insulating film is exposed in a bottom face of the opening portion. Subsequently, an insulating film is formed to cover the opening portion, the gate insulating film, and the gate electrode, and a light emitting element is formed over the insulating film to overlap with at least a part of the opening portion.Type: GrantFiled: May 4, 2005Date of Patent: March 30, 2010Assignee: Semiconductor Energy Laboratory Co., Ltd.Inventors: Shunpei Yamazaki, Hideto Ohnuma, Mitsuaki Osame, Aya Anzai, Hiromichi Godo, Tomoya Futamura
-
Patent number: 7678698Abstract: A semiconductor device has at least two tensile stressor layers that are cured with UV radiation. A second tensile stressor layer is formed after a first stressor layer. In some examples, the tensile stressor layers include silicon nitride and hydrogen. In some examples, the second tensile stressor layer has a greater shrinkage percentage due to the curing than the first tensile stressor layer. In one form, the second tensile stressor layer after the curing exerts a greater tensile stress than the first tensile stressor layer. The tensile stressors layers are utilized to improve carrier mobility in an N-channel transistor and thus enhance transistor performance. In one form a single group of overlying tensile stressor layers is provided with each layer being increasingly thicker and having increasingly more hydrogen prior to being cured. In other embodiments multiple overlying groups are formed, each group having a similar repeating depth and hydrogen profile.Type: GrantFiled: May 4, 2007Date of Patent: March 16, 2010Assignee: Freescale Semiconductor, Inc.Inventors: Xiangzheng Bo, Tien Ying Luo, Kurt H. Junker, Paul A. Grudowski, Venkat R. Kolagunta
-
Patent number: 7670957Abstract: A method for fabricating a semiconductor device is provided. The method includes sequentially forming etch target layers, a hard mask layer and an anti-reflective coating layer, selectively etching the anti-reflective coating layer and the hard mask layer using a gas generating polymers, thereby increasing a line width of a bottom portion of the hard mask layer due to the polymers, and etching the etch target layers using a patterned hard mask layer with the increased line width.Type: GrantFiled: February 17, 2006Date of Patent: March 2, 2010Assignee: Hynix Semiconductor Inc.Inventors: Ki-Won Nam, Kyung-Won Lee
-
Patent number: 7670958Abstract: An etching method includes applying a photoresist over a substrate, forming an opening in the photoresist, and etching the substrate under the opening using a plasma generated with a gas composition containing argon and an amount of higher atomic mass inert gas. The amount may be effective to increase photoresist stability compared to otherwise identical etching lacking any of the higher atomic mass inert gas. The photoresist may have a composition sensitized to an actinic energy wavelength of 248 nm or less. A method of increasing the stability of 248 nm or less photoresist during RIE includes providing a means for reducing electron temperature of a plasma and etching a substrate exposed through photoresist openings without substantially destabilizing the photoresist.Type: GrantFiled: August 1, 2006Date of Patent: March 2, 2010Assignee: Micron Technology, Inc.Inventor: Aaron R. Wilson
-
Patent number: 7666796Abstract: Some embodiments of the present invention include apparatuses and methods relating to improved substrate patterning for multi-gate transistors.Type: GrantFiled: March 23, 2006Date of Patent: February 23, 2010Assignee: Intel CorporationInventors: Ibrahim Ban, Uday Shah, Allen B. Gardiner
-
Patent number: 7648915Abstract: Some embodiments include methods of recessing multiple materials to a common depth utilizing etchant comprising C4F6 and C4F8. The recessed materials may be within isolation regions, and the recessing may be utilized to form trenches for receiving gatelines. Some embodiments include structures having an island of semiconductor material laterally surrounded by electrically insulative material. Two gatelines extend across the insulative material and across the island of semiconductor material. One of the gatelines is recessed deeper into the electrically insulative material than the other.Type: GrantFiled: January 12, 2007Date of Patent: January 19, 2010Assignee: Micron Technology, Inc.Inventors: Larson Lindholm, Aaron R. Wilson, David K. Hwang
-
Patent number: 7645712Abstract: A substrate having at least two metal oxide semiconductor devices of a same conductive type and a gap formed between the two devices is provided. A first stress layer is formed over the substrate to cover the metal-oxide semiconductor devices and the substrate, filling the gap. An etching back process is then performed to remove a portion of the stress material layer inside the gap. A second stress layer and a dielectric layer are sequentially formed on the first stress layer. The first stress layer and the second stress layer provide a same type of stress. A portion of the second stress layer is removed to form a contact opening. A second conductive layer is filled into the contact opening to form a contact.Type: GrantFiled: December 30, 2008Date of Patent: January 12, 2010Assignee: United Microelectronics Corp.Inventors: Neng-Kuo Chen, Teng-Chun Tsai, Chien-Chung Huang
-
Patent number: 7645657Abstract: A MOS transistor is formed with a dual-layer silicon oxynitride (SiON) etch stop film that protects the transistor from plasma induced damage (PID) and hot carrier degradation, thereby improving the reliability of the transistors. The first SiON layer is formed with SiH4 at a first flow rate, and the second SiON layer is formed with SiH4 at a second higher flow rate.Type: GrantFiled: December 10, 2007Date of Patent: January 12, 2010Assignee: National Semiconductor CorporationInventors: Douglas Brisbin, Prasad Chaparala, Denis Finbarr O'Connell, Heather McCulloh, Sergei Drizlikh
-
Patent number: 7635651Abstract: A method of smoothening a dielectric layer. First, a substrate is provided. Next, a dielectric layer is formed on the semiconductor substrate. Finally, the dielectric layer is smoothened by a plasma treatment employing a silane based gas and a nitrogen based gas.Type: GrantFiled: August 23, 2005Date of Patent: December 22, 2009Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Wen-Long Lee, Jun Wu, Shih-Chi Lin, Chyi-Tsong Ni
-
Patent number: 7632757Abstract: A silicon oxynitride film is formed on a target substrate by CVD, in a process field configured to be selectively supplied with a first process gas containing a chlorosilane family gas, a second process gas containing an oxidizing gas, and a third process gas containing a nitriding gas. This method alternately includes first to sixth steps. The first, third, and fifth steps perform supply of the first, second, and third process gases, respectively, while stopping supply of the other two process gases. Each of the second, fourth, and sixth steps stops supply of the first to third process gases. The third and fifth steps include an excitation period of supplying the second and third process gases, respectively, to the process field while exciting the respective process gases by an exciting mechanism.Type: GrantFiled: July 3, 2006Date of Patent: December 15, 2009Assignee: Tokyo Electron LimitedInventor: Hiroyuki Matsuura
-
Patent number: 7622393Abstract: A semiconductor device manufacturing method includes a plasma etching process for selectively plasma etching a silicon nitride film against a silicon oxide film formed under the silicon nitride film in a substrate to be processed. The plasma etching process uses an etching gas including a CmFn gas (m, n represent integers of 1 or greater) added to a gaseous mixture of a CHxFy gas (x, y represent integers of 1 or greater) and O2 gas, wherein the flow rate of the CmFn gas is not greater than 10% of that of the O2 gas. The etching gas may further include a rare gas.Type: GrantFiled: September 5, 2006Date of Patent: November 24, 2009Assignee: Tokyo Electron LimitedInventor: Kazuki Narishige
-
Patent number: 7592262Abstract: A method for manufacturing MOS transistor with hybrid hard mask includes providing a substrate having a dielectric layer and a polysilicon layer thereon, forming a hybrid hard mask having a middle hard mask and a spacer hard mask covering sidewalls of the middle hard mask on the polysilicon layer, performing a first etching process to etch the polysilicon layer and the dielectric layer through the hybrid hard mask to form a gate structure, performing a second etching process to form recesses in the substrate at two sides of the gate structure, and performing a SEG process to form epitaxial silicon layers in each recess.Type: GrantFiled: March 21, 2007Date of Patent: September 22, 2009Assignee: United Microelectronics Corp.Inventors: Hui-Ling Huang, Ming-Shing Chen, Nien-Chung Li, Li-Shiun Chen, Hsin Tai
-
Patent number: 7588883Abstract: A method for forming a gate and a method for etching a conductive layer are provided. First, a substrate is provided, including a dielectric layer and a conductive layer on its surface in order. Subsequently, a patterned silicon nitride layer is formed on the conductive layer as a hard mask, and the hydrogen concentration of the patterned silicon nitride layer is more than 1022 atoms/cm3. Thereafter, the conductive layer and the dielectric layer are etched utilizing the hard mask as a mask. Finally, an etching solution is utilized to remove the hard mask.Type: GrantFiled: May 9, 2006Date of Patent: September 15, 2009Assignee: United Microelectronics Corp.Inventors: Neng-Kuo Chen, Teng-Chun Tsai, Hsiu-Lien Liao
-
Patent number: 7585779Abstract: A fabrication method of a semiconductor device includes steps of performing any one of O2 ashing, organic processing, and dry etching on a surface of a GaN-based semiconductor layer, etching the surface of the GaN-based semiconductor layer in a mixed solution of acid and an oxidizing agent, and forming an electrode on the surface of the GaN-based semiconductor layer.Type: GrantFiled: March 28, 2006Date of Patent: September 8, 2009Assignee: Eudyna Devices Inc.Inventor: Masahiro Nishi
-
Patent number: 7579284Abstract: Example embodiments of the present invention relate to an etching solution, a method of forming a pattern using the same, a method of manufacturing a multiple gate oxide layer using the same and a method of manufacturing a flash memory device using the same. Other example embodiments of the present invention relate to an etching solution having an etching selectivity between a polysilicon layer and an oxide layer, a method of forming a pattern using an etching solution using the same, a method of manufacturing a multiple gate oxide layer using the same, and a method of manufacturing a flash memory device using the same. An etching solution including hydrogen peroxide (H2O2) and ammonium hydroxide (NH4OH) by a volume ratio of about 1:2 to about 1:10 mixed in water. In a method of forming a pattern and methods of manufacturing a multiple gate oxide layer and a flash memory device, a polysilicon layer may be formed on a substrate.Type: GrantFiled: July 10, 2006Date of Patent: August 25, 2009Assignee: Samsung Electronics Co., LtdInventors: Byoung-Moon Yoon, Ji-Hong Kim, Yong-Sun Ko, Kyung-Hyun Kim
-
Patent number: 7566668Abstract: A method of forming a contact is provided. A substrate having at least two metal oxide semiconductor devices is provided and a gap is formed between the two devices. A first stress layer is formed over the substrate to cover the metal-oxide semiconductor devices and the substrate. The first stress layer is formed by first forming a first stress material layer over the substrate to cover the metal-oxide semiconductor devices and to fill the gap, the stress material inside the gap. An etching back process is then performed to remove a portion of the stress material layer inside the gap. A second stress layer and a dielectric layer are sequentially formed on the first stress layer. A portion of the second stress layer is removed to form a contact opening. A first conductive layer is filled into the contact opening to form a contact.Type: GrantFiled: December 24, 2007Date of Patent: July 28, 2009Assignee: United Microelectronics Corp.Inventors: Neng-Kuo Chen, Teng-Chun Tsai, Chien-Chung Huang
-
Patent number: 7560378Abstract: A diffusion barrier film, a second insulating film, and a cap film are sequentially laminated on a first insulating film over a substrate. A wiring trench portion is formed extending therethrough to the first insulating film, assuming that the ratio of a width of the wiring trench portion in a direction orthogonal to its extending direction to a height of the wiring trench portion is 2.8 times even at a maximum. A barrier metal film is formed to cover the cap film and the wiring trench portion. A wiring film is deposited to cover the barrier metal film. The wiring film and the barrier metal film are chipped away until the surface of the cap film is exposed from the surface of the wiring film, thereby to form a wiring portion which buries the wiring trench portion.Type: GrantFiled: August 10, 2006Date of Patent: July 14, 2009Assignee: Oki Semiconductor Co., Ltd.Inventor: Shunichi Tokitoh
-
Patent number: 7560387Abstract: Methods for opening a hard mask and a silicon-on-insulator substrate in a single process chamber are disclosed. In one embodiment, the method includes patterning a photoresist over a stack including an anti-reflective coating (ARC) layer, a silicon dioxide (SiO2) based hard mask layer, a silicon nitride pad layer, a silicon dioxide (SiO2) pad layer and the SOI substrate, wherein the SOI substrate includes a silicon-on-insulator layer and a buried silicon dioxide (SiO2) layer; and in a single process chamber: opening the ARC layer; etching the silicon dioxide (SiO2) based hard mask layer; etching the silicon nitride pad layer; etching the silicon dioxide (SiO2) pad layer; and etching the SOI substrate. Etching all layers in a single chamber reduces the turn-around-time, lowers the process cost, facilitates process control and/or improve a trench profile.Type: GrantFiled: January 25, 2006Date of Patent: July 14, 2009Assignee: International Business Machines CorporationInventors: Scott D. Allen, Kangguo Cheng, Xi Li, Kevin R. Winstel
-
Patent number: 7553763Abstract: A salicide process contains providing a silicon substrate that comprises at least a predetermined salicide region, performing a cluster ion implantation process to form an amorphized layer in the predetermined salicide region of the silicon substrate near, forming a metal layer on the surface of the amorphized layer, and reacting the metal layer with the amorphized layer to form a silicide layer on the surface of the silicon substrate.Type: GrantFiled: August 8, 2006Date of Patent: June 30, 2009Assignee: United Microelectronics Corp.Inventors: Tsai-Fu Hsiao, Chin-Cheng Chien, Kuo-Tai Huang
-
Patent number: 7553774Abstract: In a method of fabricating a semiconductor optical device, insulating structures for an alignment mark for use in electron beam exposure are formed on a primary surface of a first group III-V semiconductor region. After forming the insulating structures, a second group III-V semiconductor region is grown on the first group III-V semiconductor region to form an epitaxial wafer. The height of the insulating structures is larger than thickness of the second group III-V semiconductor region. After forming the second group III-V semiconductor region, alignment for the electron beam exposure is performed. After the alignment, a resist is exposed to an electron beam to form a resist mask. The resist mask has a pattern for a diffraction grating, and the resist is on the epitaxial wafer.Type: GrantFiled: February 7, 2008Date of Patent: June 30, 2009Assignee: Sumitomo Electric Industries Ltd.Inventor: Toshio Nomaguchi
-
Patent number: 7547636Abstract: A method for selectively etching an ultra high aspect ratio feature dielectric layer through a carbon based mask in an etch chamber is provided. A flow of an etch gas is provided, comprising a fluorocarbon containing molecule and an oxygen containing molecule to the etch chamber. A pulsed bias RF signal is provided. An energizing RF signal is provided to transform the etch gas to a plasma.Type: GrantFiled: February 5, 2007Date of Patent: June 16, 2009Assignee: Lam Research CorporationInventors: Kyeong-Koo Chi, Erik A. Edelberg