Ionized Irradiation (e.g., Corpuscular Or Plasma Treatment, Etc.) Patents (Class 438/798)
  • Patent number: 7795154
    Abstract: To provide a manufacturing apparatus of a semiconductor device, which does not use a stepper in a manufacturing process in the case where mass production of semiconductor devices is carried out by using a large-sized substrate. A thin film formed over a substrate having an insulating surface is selectively irradiated with a laser beam through light control means, specifically through an electro-optical device to cause ablation; accordingly, the thin film is partially removed, thereby processing the thin film in a remaining region into a desired shape. The electro-optical device functions as a variable mask by inputting an electrical signal based on design CAD data of the semiconductor device.
    Type: Grant
    Filed: August 21, 2007
    Date of Patent: September 14, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Koichiro Tanaka, Shunpei Yamazaki
  • Publication number: 20100221905
    Abstract: A method of preparing a floating trap type device on a substrate is described. The method comprises forming a trap layer structure on a substrate, and modifying a composition of one or more layers in the trap layer structure by exposing the trap layer structure to a gas cluster ion beam (GCIB).
    Type: Application
    Filed: February 27, 2009
    Publication date: September 2, 2010
    Applicant: TEL Epion Inc.
    Inventors: John J. Hautala, Mitchell A. Carlson
  • Patent number: 7785978
    Abstract: A variable resistance memory cell structure and a method of forming it. The method includes forming a first electrode, forming an insulating material over the first electrode, forming a via in the insulating material to expose a surface of the first electrode, forming a heater material within the via using gas cluster ion beams, forming a variable resistance material within the via, and forming a second electrode such that the heater material and variable resistance material are provided between the first and second electrodes.
    Type: Grant
    Filed: February 4, 2009
    Date of Patent: August 31, 2010
    Assignee: Micron Technology, Inc.
    Inventor: John Smythe
  • Patent number: 7781234
    Abstract: Semiconductor process evaluation methods perform multiple scans of a test semiconductor substrate (e.g., test wafer) using ion beams under different ion implanting conditions. Parameters of the test semiconductor substrate that was scanned using the ion beams under different ion implanting conditions are then measured to conduct the semiconductor process evaluation.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: August 24, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Won-bae Jang, Seung-chul Kim, Chan-seung Choi, Min-suk Kim, Chee-wan Kim, Sun-yong Lee, Sang-rok Hah
  • Publication number: 20100200953
    Abstract: An on-chip heater and methods for fabrication thereof and use thereof provide that the heater is located within an isolation region that in turn is located within a semiconductor substrate. The heater has a thermal output capable or raising the semiconductor substrate to a temperature of at least about 200° C. The heater may be used for thermally annealing trapped charges within dielectric layers within the semiconductor structure.
    Type: Application
    Filed: April 23, 2010
    Publication date: August 12, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Ethan H. Cannon, Alvin W. Strong
  • Publication number: 20100197147
    Abstract: High throughput systems and processes for recrystallizing thin film semiconductors that have been deposited at low temperatures on a substrate are provided. A thin film semiconductor workpiece is irradiated with a laser beam to melt and recrystallize target areas of the surface exposed to the laser beam. The laser beam is shaped into one or more beamlets using patterning masks. The mask patterns have suitable dimensions and orientations to pattern the laser beam radiation so that the areas targeted by the beamlets have dimensions and orientations that are conducive to semiconductor recrystallization. The workpiece is mechanically translated along linear paths relative to the laser beam to process the entire surface of the work piece at high speeds. Position sensitive triggering of a laser can be used generate laser beam pulses to melt and recrystallize semiconductor material at precise locations on the surface of the workpiece while it is translated on a motorized stage.
    Type: Application
    Filed: February 18, 2010
    Publication date: August 5, 2010
    Inventor: JAMES S. IM
  • Publication number: 20100190356
    Abstract: A substrate processing apparatus may include a processing chamber including a plasma generating unit arranged in an upper region thereof. A grid system, which may extract ions from plasma formed by the plasma generating unit and may accelerate the ions to have substantially uniform directivity. The grid system may be positioned below the plasma generating unit. A reflector may be arranged below the grid system and may include parallel reflecting plates for converting the ions accelerated from the grid system into neutral beams.
    Type: Application
    Filed: March 4, 2010
    Publication date: July 29, 2010
    Inventors: Sung-Wook Hwang, Chul-Ho Shin
  • Patent number: 7763869
    Abstract: A UV light irradiating apparatus for irradiating a semiconductor substrate with UV light includes: a reactor in which a substrate-supporting table is provided; a UV light irradiation unit connected to the reactor for irradiating a semiconductor substrate placed on the substrate-supporting table with UV light through a light transmission window; and a liquid layer forming channel disposed between the light transmission window and at least one UV lamp for forming a liquid layer through which the UV light is transmitted. The liquid layer is formed by a liquid flowing through the liquid layer forming channel.
    Type: Grant
    Filed: March 23, 2007
    Date of Patent: July 27, 2010
    Assignee: ASM Japan K.K.
    Inventors: Kiyohiro Matsushita, Kenichi Kagami
  • Publication number: 20100173476
    Abstract: A method for manufacturing a semiconductor device according to the invention irradiates a first pulse laser beam with an irradiation energy density of 1.0 J/cm2 or higher to blow off particles on the surface of wafer in activating an impurity layer positioned at a shallow location from the surface of wafer such as pt-type collector layer in an FS-type IGBT or in an NPT-type IGBT. By irradiating a second laser beam, region, on which particles were, is activated in the same manner as the region, on which particles are not, and pt-type collector layer is formed uniformly. The manufacturing method according to the invention facilitates preventing nonuniform laser beam irradiation from causing in laser annealing and preventing defective devices from causing.
    Type: Application
    Filed: December 11, 2009
    Publication date: July 8, 2010
    Applicant: FUJI ELECTRIC SYSTEMS CO., LTD.
    Inventor: Haruo Nakazawa
  • Patent number: 7745351
    Abstract: Methods of forming a dielectric layer where the tensile stress of the layer is increased by a plasma treatment at an elevated position are described. In one embodiment, oxide and nitride layers are deposited on a substrate and patterned to form an opening. A trench is etched into the substrate. The substrate is transferred into a chamber suitable for dielectric deposition. A dielectric layer is deposited over the substrate, filling the trench and covering mesa regions adjacent to the trench. The substrate is raised to an elevated position above the substrate support and exposed to a plasma which increases the tensile stress of the substrate. The substrate is removed from the dielectric deposition chamber, and portions of the dielectric layer are removed so that the dielectric layer is even with the topmost portion of the nitride layer. The nitride and pad oxide layers are removed to form the STI structure.
    Type: Grant
    Filed: October 15, 2008
    Date of Patent: June 29, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Xiaolin Chen, Srinivas D. Nemani, DongQing Li, Jeffrey C. Munro, Marlon E. Menezes
  • Publication number: 20100159618
    Abstract: In some embodiments of the invention, encapsulated semiconducting nanomaterials are described. In certain embodiments the nanostructures described are semiconducting nanomaterials encapsulated with ordered carbon shells. In some aspects a method for producing encapsulated semiconducting nanomaterials is disclosed. In some embodiments applications of encapsulated semiconducting nanomaterials are described.
    Type: Application
    Filed: February 16, 2010
    Publication date: June 24, 2010
    Applicant: Brookhaven Science Associates, LLC
    Inventors: Eli Anguelova Sutter, Peter Werner Sutter
  • Publication number: 20100159712
    Abstract: A method of modifying the heat transfer coefficient profile of an electrostatic chuck by configuring the areal density of a mesa configuration of an insulating layer of the chuck is provided. A method of modifying the capacitance profile of an electrostatic chuck by adjustment or initial fabrication of the height of a mesa configuration of an insulating layer of the chuck is further provided. The heat transfer coefficient at a given site can be measured by use of a heat flux probe, whereas the capacitance at a given site can be measured by use of a capacitance probe. The probes are placed on the insulating surface of the chuck and may include a plurality of mesas in a single measurement. A plurality of measurements made across the chuck provide a heat transfer coefficient profile or a capacitance profile, from which a target mesa areal density and a target mesa height are determined.
    Type: Application
    Filed: November 30, 2005
    Publication date: June 24, 2010
    Inventor: Robert Steger
  • Publication number: 20100151696
    Abstract: A manufacturing method for a semiconductor device, includes, forming an element region on a front surface of a semiconductor substrate, performing a first heat treatment by irradiating first irradiation light having a first irradiation energy density onto the front surface of the semiconductor substrate with a pulse width of 0.1 to 100 msec at the temperature of 1000° C. or less; and performing a second heat treatment by irradiating second irradiation light having a second irradiation energy density onto the surface of the semiconductor substrate with a pulse width of 0.1 to 100 msec at the temperature higher than the temperature in the first heat treatment.
    Type: Application
    Filed: December 10, 2009
    Publication date: June 17, 2010
    Inventors: Takayuki ITO, Masato Fukumoto, Kunihiro Miyazaki
  • Patent number: 7737010
    Abstract: A method of forming an intermediate semiconductor device is disclosed that comprises providing a semiconductor substrate, forming a photoresist layer on the semiconductor substrate, implanting a dopant into the semiconductor substrate, and removing a dopant-containing layer from the photoresist layer. The dopant-containing layer includes dopant residuals and a carbon-rich crust and may be formed during implantation. The dopant-containing layer may be removed from the photoresist layer by exposing the dopant-containing layer to a water rinse, a chlorinated plasma or to a fluorinated plasma. The water rinse may include deionized water that is maintained at a temperature that ranges from approximately 25° C. to approximately 80° C. The fluorinated plasma may be formed from a gaseous precursor selected from the group consisting of nitrogen trifluoride, carbon tetrafluoride, trifluoromethane, hexafluoroethane, sulfur hexafluoride, and mixtures thereof.
    Type: Grant
    Filed: April 14, 2006
    Date of Patent: June 15, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Shu Qin, Allen McTeer, Robert J. Hanson
  • Patent number: 7713757
    Abstract: Embodiments of the invention generally provide methods for end point detection at predetermined dopant concentrations during plasma doping processes. In one embodiment, a method includes positioning a substrate within a process chamber, generating a plasma above the substrate and transmitting a light generated by the plasma through the substrate, wherein the light enters the topside and exits the backside of the substrate, and receiving the light by a sensor positioned below the substrate. The method further provides generating a signal proportional to the light received by the sensor, implanting the substrate with a dopant during a doping process, generating multiple light signals proportional to a decreasing amount of the light received by the sensor during the doping process, generating an end point signal proportional to the light received by the sensor once the substrate has a final dopant concentration, and ceasing the doping process.
    Type: Grant
    Filed: March 14, 2008
    Date of Patent: May 11, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Majeed A. Foad, Shijian Li
  • Publication number: 20100112825
    Abstract: Methods and apparatus provide for forming a semiconductor-on-insulator (SOI) structure, including subjecting a implantation surface of a donor semiconductor wafer to an ion implantation step to create a weakened slice in cross-section defining an exfoliation layer of the donor semiconductor wafer; and subjecting the donor semiconductor wafer to a spatial variation step, either before, during or after the ion implantation step, such that at least one parameter of the weakened slice varies spatially across the weakened slice in at least one of X- and Y-axial directions.
    Type: Application
    Filed: October 30, 2008
    Publication date: May 6, 2010
    Inventors: Sarko Cherekdjian, Jeffrey Scott Cites, James Gregory Couillard, Richard Orr Maschmeyer, Michael John Moore, Alex Usenko
  • Patent number: 7709814
    Abstract: Apparatuses and processes for treating dielectric materials such as low k dielectric materials, premetal dielectric materials, barrier layers, and the like, generally comprise a radiation source module, a process chamber module coupled to the radiation source module; and a loadlock chamber module in operative communication with the process chamber and a wafer handler. The atmosphere of each one of the modules can be controlled as may be desired for different types of dielectric materials. The radiation source module includes a reflector, an ultraviolet radiation source, and a plate transmissive to the wavelengths of about 150 nm to about 300 nm, to define a sealed interior region, wherein the sealed interior region is in fluid communication with a fluid source.
    Type: Grant
    Filed: June 17, 2005
    Date of Patent: May 4, 2010
    Assignee: Axcelis Technologies, Inc.
    Inventors: Carlo Waldfried, Christopher Garmer, Orlando Escorcia, Ivan Berry, III, Palani Sakthivel, Alan C. Janos
  • Publication number: 20100055882
    Abstract: Methods for producing a junction termination extension surrounding the edge of a cathode or anode junction in a semiconductor substrate, where the junction termination extension has a controlled arbitrary lateral doping profile and a controlled arbitrary lateral width, are provided. A photosensitive material is illuminated through a photomask having a pattern of opaque and clear spaces therein, the photomask being separated from the photosensitive material so that the light diffuses before striking the photosensitive material. After processing, the photosensitive material so exposed produces a laterally tapered implant mask. Dopants are introduced into the semiconductor material and follow a shape of the laterally tapered implant mask to create a controlled arbitrary lateral doping profile and a controlled lateral width in the junction termination extension in the semiconductor.
    Type: Application
    Filed: July 6, 2009
    Publication date: March 4, 2010
    Applicant: The Government of the United States of America, as rpresented by the Secretary of the Navy
    Inventors: Eugene A. Imhoff, Francis J. Kub, Karl D. Hobart
  • Patent number: 7667212
    Abstract: Certain film deposition and selective etching technology may involve scanning of a charged particle beam along with a deposition gas and etching gas, respectively. In conventional methods, unfortunately, the deposition rate or the selective ratio is oftentimes decreased depending on optical system setting, scan spacing, dwell time, loop time, substrate, etc. Accordingly, an apparatus is provided for finding an optical system setting, a dwell time, and a scan spacing. These parameters are found to realize the optimal scanning method of the charged particle beam from the loop time dependence of the deposition rate or etching rate. This deposition rate or etching rate are measurements stored in advance for a desired irradiation region where film deposition or selective etching should be performed. The apparatus displays a result of its judgment on a display device.
    Type: Grant
    Filed: October 31, 2008
    Date of Patent: February 23, 2010
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Muneyuki Fukuda, Hiroyasu Shichi
  • Publication number: 20100041216
    Abstract: The present invention relates to a method of forming a nitride semiconductor substrate. This method includes steps of providing a substrate and then forming an epitaxy layer on the substrate. A patterned mask layer is formed on the epitaxy layer, wherein the patterned mask layer exposes a portion of the epitaxy layer. Next, an oxidation process is performed to oxidize the exposed epitaxy layer so as to form a plurality of dislocation blocking structures. The patterned mask layer is then removed. Further, a nitride semiconductor layer is formed on the epitaxy layer having the dislocation blocking structures.
    Type: Application
    Filed: October 20, 2009
    Publication date: February 18, 2010
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Chih-Ming Lai, Jenq-Dar Tsay, Wen-Yueh Liu, Yih-Der Guo
  • Patent number: 7662728
    Abstract: A method of forming a low-K dielectric film, comprises the steps of placing a substrate carrying thereon a low-K dielectric film on a stage, heating the low-K dielectric film on the stage, processing the low-K dielectric film by plasma of a processing gas containing a hydrogen gas, the plasma being excited while supplying the processing gas over the low-K dielectric film, wherein the plasma is excited within 90 seconds after placing the substrate upon the stage.
    Type: Grant
    Filed: May 11, 2006
    Date of Patent: February 16, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Yusaku Kashiwagi, Yasuhiro Oshima, Yoshihisa Kagawa, Gishi Chung
  • Patent number: 7655578
    Abstract: Under consideration here is a method for the production of periodic nanostructuring on one of the surfaces of a substrate (10), presenting a periodic network of dislocations, embedded within a crystalline area (4) located in the neighborhood of an interface (5) between the crystalline material surfaces of two components (1, 2) assembled by bonding to form the substrate (10). It comprises the following steps: formation, in the dislocations (3), of implants (6) made of a material other than that of the crystalline area (4); irradiation of the substrate (10) with electromagnetic waves (11) in order to cause absorption of electromagnetic energy localized in the implants (6), this absorption leading to the appearance of the periodic nanostructuring (12) on the surface of the substrate (10).
    Type: Grant
    Filed: July 3, 2007
    Date of Patent: February 2, 2010
    Assignees: Commissariat a l'Energie Atomique, Universite Jean Monnet, Centre National de la Recherche Scientifique
    Inventors: Frank Fournel, Jérôme Meziere, Alexis Bavard, Florent Pigeon, Florence Garrelie
  • Patent number: 7655579
    Abstract: A focus ring heat transfer method improves heat transfer of a focus ring arranged in an outer peripheral portion of a mounting surface of a mounting table adapted to mount a target substrate in a chamber. The method includes steps of: disposing a heat transfer sheet between the focus ring and the mounting table; and vacuum-evacuating the chamber prior to processing the target substrate and then restoring the pressure the inside of the chamber to an atmospheric pressure or a light vacuum pressure. Therefore, air present in a fine gap between the heat transfer sheet and the mounting surface is removed to allow the heat transfer sheet to adhere to the mounting surface.
    Type: Grant
    Filed: January 8, 2008
    Date of Patent: February 2, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Masaaki Miyagawa, Akihiro Yoshimura
  • Publication number: 20100015818
    Abstract: A method for producing a buried stop zone in a semiconductor body and a semiconductor component having a stop zone, the method including providing a semiconductor body having a first and a second side and a basic doping of a first conduction type. The method further includes irradiating the semiconductor body via one of the sides with protons, as a result of which protons are introduced into a first region of the semiconductor body situated at a distance from the irradiation side. The method also includes carrying out a thermal process in which the semiconductor body is heated to a predetermined temperature for a predetermined time duration, the temperature and the duration being chosen such that hydrogen-induced donors are generated both in the first region and in a second region adjacent to the first region in the direction of the irradiation side.
    Type: Application
    Filed: August 31, 2009
    Publication date: January 21, 2010
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Reiner Barthelmess, Anton Mauder, Franz-Josef Niedernostheide, Hans-Joachim Schulze
  • Publication number: 20100009550
    Abstract: [PROBLEMS] To provide a method and an apparatus for cutting a conductive link of a redundant circuit in a semiconductor circuit. [MEANS FOR SOLVING PROBLEMS] A method is provided for selectively cutting a plurality of conductive links embedded in a protection layer which covers at least the conductive links in a semiconductor device formed on a semiconductor substrate. A focused beam is aligned with a target link, a first pulsed laser beam having a short laser wavelength of 400 nm or shorter and a second pulsed laser beam having a wavelength longer than 400 nm are generated, the first and the second pulsed laser beams are overlapped and applied onto the conductive link from over the protection layer. Preferably, the second pulsed laser is applied after the first pulsed layer in terms of time.
    Type: Application
    Filed: December 7, 2007
    Publication date: January 14, 2010
    Applicants: CYBER LASER, INC., MEERE COMPANY, INC.
    Inventors: Susumu Tsujikawa, Masanao Kamata, Tetsumi Sumiyoshi
  • Publication number: 20100009551
    Abstract: A p-n junction is formed at the interface of a low-concentration n-type impurity layer and a p-type diffusion region in the vicinity of the upper major surface of an n-type semiconductor substrate of a semiconductor device. A mask composed of an absorber is placed on the upper major surface of the semiconductor device, and electron beams are radiated. Thereafter, heat treatment is conducted. As a result, the peak of the crystal lattice defect densities is present in the vicinity of the upper major surface of the n-type semiconductor substrate, and the crystal lattice defect densities are decreasingly distributed toward the lower major surface. Thereby, a semiconductor device that can minimize the variation of the breakdown voltage characteristics of the p-n junction of the diode, and can control the optimum carrier lifetime can be obtained.
    Type: Application
    Filed: September 23, 2009
    Publication date: January 14, 2010
    Applicant: MITSUBISHI ELECTRIC CORPORATION
    Inventor: Masanori INOUE
  • Patent number: 7642192
    Abstract: A semiconductor device fabrication method includes the steps of (a) forming a dielectric film on a semiconductor substrate; (b) etching the dielectric film by a dry process; and (c) supplying thermally decomposed atomic hydrogen onto the semiconductor substrate under a prescribed temperature condition, to remove a damaged layer produced in the semiconductor substrate due to the dry process.
    Type: Grant
    Filed: April 26, 2005
    Date of Patent: January 5, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Kazuo Hashimi, Hidekazu Sato
  • Patent number: 7635640
    Abstract: A crystallization method of an amorphous semiconductor layer includes providing an amorphous semiconductor layer having a first thickness, crystallizing the amorphous semiconductor layer in a first direction, partially reducing the crystallized semiconductor layer to a second thickness less than the first thickness and crystallizing the etched semiconductor layer in a second direction.
    Type: Grant
    Filed: April 19, 2006
    Date of Patent: December 22, 2009
    Assignee: LG Display Co., Ltd.
    Inventor: Sang Hyun Kim
  • Patent number: 7629596
    Abstract: To provide production methods for a 3-D mold, a finely processed product, and a fine pattern molded product in which the depth and the line width can be formed with high precision, a 3-D mold, a finely processed product, a fine-pattern molded product, and an optical element formed with high precision.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: December 8, 2009
    Assignee: Tokyo University of Science Educational Foundation Administrative Organization
    Inventor: Jun Taniguchi
  • Patent number: 7629274
    Abstract: A storage node, a method of fabricating the same, a semiconductor memory device and a method of fabricating the same is provided. The method of fabricating a storage node may include forming a lower electrode, forming an irradiated data storage layer and forming an upper electrode.
    Type: Grant
    Filed: August 24, 2006
    Date of Patent: December 8, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-Hyun Lee, Sang-Bong Bang
  • Patent number: 7629196
    Abstract: A method is disclosed for manufacturing an integrated circuit that has increased radiation hardness and reliability. A device active area of an integrated circuit is provided and a layer of radiation resistant material is applied to the device active area of the integrated circuit. In one advantageous embodiment the radiation resistant material is silicon carbide. In another advantageous embodiment a passivation layer is placed between the device active area and the layer of radiation resistant material. The integrated circuit of the present invention exhibits minimal sensitivity to (1) enhanced low dose rate sensitivity (ELDRS) effects of radiation, and (2) pre-irradiation elevated temperature stress (PETS) effects of radiation.
    Type: Grant
    Filed: October 15, 2007
    Date of Patent: December 8, 2009
    Assignee: National Semiconductor Corporation
    Inventor: Michael C. Maher
  • Patent number: 7618880
    Abstract: A method is disclosed for forming a layer of a wide bandgap material in a non-wide bandgap material. The method comprises providing a substrate of a non-wide bandgap material and converting a layer of the non-wide bandgap material into a layer of a wide bandgap material. An improved component such as wide bandgap semiconductor device may be formed within the wide bandgap material through a further conversion process.
    Type: Grant
    Filed: February 18, 2005
    Date of Patent: November 17, 2009
    Inventor: Nathaniel R. Quick
  • Patent number: 7605084
    Abstract: A method of filling a gap on a substrate comprises disposing the substrate, on which the gap is formed, on a susceptor in a chamber; applying a source power to the chamber to generate plasmas into the chamber; supplying a process gas into the chamber; filling a thin film into a gap by applying a first bias power to the susceptor, an amplitude of the first bias power being periodically modulated; stopping supply of the process gas and cutting off the first bias power; and extinguish the plasmas in the chamber.
    Type: Grant
    Filed: May 9, 2007
    Date of Patent: October 20, 2009
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Jeong-Hoon Han, Jin-Hyuk Yoo, Young-Rok Kim
  • Publication number: 20090258507
    Abstract: In order to solve the problem of contamination caused by static electricity on the surface of a substrate after plasma treatment, the invention provides a substrate treatment device comprising a standby chamber in which is arranged a transfer device for loading a substrate out of/into a cassette rack accommodating a substrate, said substrate treatment device capable of retaining said substrate transferred by the transfer device in a boat and loading, by way of a boat elevator, the boat into/out of a treatment furnace capable of applying plasma treatment to said substrate, wherein a static eliminator for eliminating static electricity of said substrate is arranged in said standby chamber.
    Type: Application
    Filed: March 2, 2007
    Publication date: October 15, 2009
    Inventors: Takeshi Itoh, Kazuyuki Toyoda, Yuji Takebayashi
  • Patent number: 7588803
    Abstract: According to one embodiment of the invention, a method of modifying a mechanical, physical and/or electrical property of a dielectric layer comprises exposing the dielectric layer to a first dose of electron beam radiation at a first energy level; and thereafter, exposing the dielectric layer to a second dose of electron beam radiation at a second energy level that is different from the first energy level.
    Type: Grant
    Filed: February 1, 2005
    Date of Patent: September 15, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Alexandros T. Demos, Li-Qun Xia, Tzu-Fang Huang, Wen H. Zhu
  • Patent number: 7585704
    Abstract: A method for increasing the level of stress for amorphous thin film stressors by means of modifying the internal structure of such stressors is provided. The method includes first forming a first portion of an amorphous film stressor material on at least a surface of a substrate, said first portion having a first state of mechanical strain defining a first stress value. After the forming step, the first portion of the amorphous film stressor material is densified such that the first state of mechanical strain is not substantially altered, while increasing the first stress value. In some embodiments, the steps of forming and densifying are repeated any number of times to obtain a preselected and desired thickness for the stressor.
    Type: Grant
    Filed: April 1, 2005
    Date of Patent: September 8, 2009
    Assignee: International Business Machines Corporation
    Inventors: Michael P. Belyansky, Oleg Gluschenkov, Ying Li, Anupama Mallikarjunan
  • Patent number: 7582492
    Abstract: The invention provides a method of doping impurities that includes a step of doping impurities in a solid base substance by using a plasma doping method, a step of forming a light antireflection layer that functions to reduce light reflection on the surface of the solid base substance, and a step of performing annealing by light radiation. According to the method, it is possible to reduce the reflectance of light radiated during annealing, to efficiently apply energy an impurity doped layer, to improve activation efficiency, to prevent diffusion, and to reduce sheet resistance of the impurity doped layer.
    Type: Grant
    Filed: May 19, 2005
    Date of Patent: September 1, 2009
    Assignee: Panasonic Corporation
    Inventors: Cheng-Guo Jin, Yuichiro Sasaki, Bunji Mizuno, Katsumi Okashita, Hiroyuki Ito, Tomohiro Okumura, Satoshi Maeshima, Ichiro Nakayama
  • Patent number: 7579287
    Abstract: A method for processing an object containing moisture is provided to efficiently remove the moisture and to prevent re-adsorption of the moisture. In particular, the method has a step of removing the moisture contained in the object in an atmosphere containing excited hydrogen, deuterium, deuterated hydrogen, or tritium.
    Type: Grant
    Filed: August 9, 2006
    Date of Patent: August 25, 2009
    Assignee: Canon Kabushiki Kaisha
    Inventors: Shigenori Ishihara, Nobuo Kawase
  • Patent number: 7576341
    Abstract: A lithography system and method for operating the same. The lithography system may include a cathode adapted to emit an electron beam, a beam-homogenizing structure, capable of increasing at least one of the uniformity and energetic of the electron beam, and a mask adapted to accelerate the electron beam to form a pattern on a wafer.
    Type: Grant
    Filed: December 8, 2005
    Date of Patent: August 18, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-Wook Kim, Sungho Jin, In Kyung Yoo
  • Publication number: 20090203190
    Abstract: A method of forming a mask stack pattern and a method of manufacturing a flash memory device including an active area having rounded corners are provided. The method of manufacture including forming a mask stack pattern defining an active region, the mask stack pattern having a pad oxide layer formed on a semiconductor substrate, a silicon nitride layer formed on the pad oxide layer and a stack oxide layer formed on the silicon nitride layer, oxidizing a surface of the semiconductor substrate exposed by the mask stack pattern and lateral surfaces of the silicon nitride layer such that corners of the active region are rounded, etching the semiconductor substrate having an oxidized surface to form a trench in the semiconductor substrate, forming a device isolation oxide layer in the trench, removing the silicon nitride layer from the semiconductor substrate, and forming a gate electrode in a portion where the silicon nitride layer is removed.
    Type: Application
    Filed: January 26, 2009
    Publication date: August 13, 2009
    Inventors: Young-jin Noh, Si-young Choi, Bon-young Koo, Ki-hyun Hwang, Chul-sung Kim, Sung-kweon Baek, Jin-hwa Heo
  • Patent number: 7566482
    Abstract: A method in which a SOI substrate structure is fabricated by oxidation of graded porous Si is provided. The graded porous Si is formed by first implanting a dopant (p- or n-type) into a Si-containing substrate, activating the dopant using an activation anneal step and then anodizing the implanted and activated dopant region in a HF-containing solution. The graded porous Si has a relatively coarse top layer and a fine porous layer that is buried beneath the top layer. Upon a subsequent oxidation step, the fine buried porous layer is converted into a buried oxide, while the coarse top layer coalesces into a solid Si-containing over-layer by surface migration of Si atoms.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: July 28, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kwang Su Choe, Keith E. Fogel, Devendra K. Sadana
  • Publication number: 20090186469
    Abstract: There is proposed an apparatus for doping a material to be doped by generating plasma (ions) and accelerating it by a high voltage to form an ion current is proposed, which is particularly suitable for processing a substrate having a large area. The ion current is formed to have a linear sectional configuration, and doping is performed by moving a material to be doped in a direction substantially perpendicular to the longitudinal direction of a section of the ion current.
    Type: Application
    Filed: April 1, 2009
    Publication date: July 23, 2009
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Shunpei Yamazaki, Toshiji Hamatani, Koichiro Tanaka
  • Patent number: 7563718
    Abstract: A semiconductor substrate is loaded into a reaction chamber to form a tungsten layer. A source gas including tungsten (W) is introduced into the reaction chamber to grow a crystal nucleus of the tungsten on the semiconductor substrate. A reduction gas containing boron (B) is introduced into the reaction chamber to form a tungsten layer on the semiconductor substrate by actions of the source gas and the reduction gas. A hydrogen (H2) gas is introduced into the reaction chamber to remove the boron (B) remaining in the tungsten layer.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: July 21, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Choon Hwan Kim
  • Patent number: 7560366
    Abstract: The present invention provides processes for producing horizontal nanowires that are separate and oriented and allow for processing directly on a substrate material. The nanowires grow horizontally by suppressing vertical growth from a nucleating particle, such as a metal film. The present invention also provides for horizontal nanowire growth from nucleating particles on the edges of nanometer-sized steps. Following processing, the nanowires can be removed from the substrate and transferred to other substrates. The present invention also provides for nanowires produced by these processes and electronic devices comprising these nanowires. The present invention also provides for nanowire growth apparatus that provide horizontal nanowires, and processes for producing nanowire devices.
    Type: Grant
    Filed: December 1, 2005
    Date of Patent: July 14, 2009
    Assignee: Nanosys, Inc.
    Inventors: Linda T. Romano, Shahriar Mostarshed
  • Patent number: 7557050
    Abstract: In a method of manufacturing a polysilicon thin film and a method of manufacturing a TFT having the thin film, a laser beam is irradiated on a portion of an amorphous silicon thin film to liquefy the portion of the amorphous silicon thin film. The amorphous silicon thin film is on a first end portion of a substrate. The liquefied silicon is crystallized to form silicon grains. The laser beam is shifted from the first end portion towards a second end portion of the substrate opposite the first end portion by an interval in a first direction. The laser beam is then irradiated onto a portion of the amorphous silicon thin film adjacent to the silicon grains to form a first polysilicon thin film. Therefore, electrical characteristics of the amorphous silicon thin film may be improved.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: July 7, 2009
    Assignee: Samsung Electroncis Co., Ltd.
    Inventors: Se-Jin Chung, Chi-Woo Kim, Ui-Jin Chung, Dong-Byum Kim
  • Patent number: 7553772
    Abstract: Process and apparatus provide reactive radicals generated from a remote plasma source which contact a portion of a substrate surface simultaneous with a contact of the same substrate surface with a light source which locally activates the portion of the substrate surface in contact with said radicals.
    Type: Grant
    Filed: January 31, 2005
    Date of Patent: June 30, 2009
    Assignee: LSI Corporation
    Inventors: Shiqun Gu, Wai Lo, Hong Lin
  • Publication number: 20090159881
    Abstract: The present invention is a method for manufacturing a semiconductor apparatus including a chip which is fabricated in large numbers on a wafer and has a plurality of information blocks. In the method, a unique information bit is written in a chip discrimination block of each chip 10 within a shot, which is a segmented region of the wafer, by a fixed pattern method. In addition, an information bit uniquely given to each shot within the wafer is written by a mask shift method. Further, an information bit uniquely given to each wafer is written in a wafer discrimination block of the chip 10 which is fabricated on the wafer by the mask shift method and mask combination method.
    Type: Application
    Filed: August 7, 2008
    Publication date: June 25, 2009
    Inventors: Hidehiko KANDO, Isao Sakama
  • Publication number: 20090156019
    Abstract: A substrate processing apparatus is used for radiating UV rays onto a target film formed on a target surface of a substrate to perform a curing process of the target film. The apparatus includes a hot plate configured to heat the substrate to a predetermined temperature, a plurality of support pins disposed on the hot plate to support the substrate, and a UV radiating device configured to radiate UV rays onto the target surface of the substrate supported on the support pins. The support pins are preset to provide a predetermined thermal conductivity to conduct heat of the substrate to the hot plate. The hot plate is preset to have a predetermined thermal capacity sufficient to absorb heat conducted through the support pins.
    Type: Application
    Filed: June 4, 2008
    Publication date: June 18, 2009
    Inventors: Naoyuki Satoh, Takeshi Tamura, Hiroyuki Ide, Manabu Hama
  • Publication number: 20090149034
    Abstract: In a semiconductor module, adhesion between an insulating base material and an insulator provided on the insulating base material, for example a sealing resin of the semiconductor element, is to be improved. A plurality of interconnect layers, each including an interlayer dielectric film 405 and a copper interconnect 407, is stacked and a solder resist layer 408 is formed on an uppermost layer. Elements 410a and 410b are formed on a surface of the solder resist layer 408. The elements 410a and 410b are molded in a molding resin 415. The surface of the solder resist layer 408 is modified by plasma processing under a specific condition so that minute projections are formed thereon. Such surface of the solder resist layer 408 is processed such that a value of y/x becomes not less than 0.4, where x represents a detected intensity at a binding energy of 284.5 eV and y represents a detected intensity at a binding energy of 286 eV, by an X-ray photoelectric spectroscopy spectrum.
    Type: Application
    Filed: December 15, 2008
    Publication date: June 11, 2009
    Applicant: SANYO ELECTRIC CO., LTD.
    Inventors: Ryosuke USUI, Hideki Mizuhara, Takeshi Nakamura
  • Patent number: 7524777
    Abstract: The invention provides a method for manufacturing a semiconductor device. The method for manufacturing the semiconductor device, among others, may include forming one or more layers of material within an opening in a substrate, the opening and the one or more layers forming at least a portion of an isolation structure, and subjecting at least one of the one or more layers to an energy beam treatment, the energy beam treatment configured to change a stress of the one or more layers subjected thereto, and thus change a stress in the substrate.
    Type: Grant
    Filed: December 14, 2006
    Date of Patent: April 28, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Puneet Kohli, Manoj Mehrotra, Jin Zhao, Sameer Ajmera