By Differential Heating Patents (Class 438/799)
  • Patent number: 8652974
    Abstract: A fiber laser system enables a method for treating a semiconductor material by preheating a wafer for laser annealing and gas immersion laser doping by a laser source. A long wave length fiber laser having a Gaussian or similar profile is applied in a full-width ribbon beam across an incident wafer. Preferably the wavelength is greater than 1 ?m (micron) and preferably a Yb doped fiber laser is used. The process is performed in a suitable environment which may include doping species. The process ensures the temperature gradient arising during processing does not exceed a value that results in fracture of the wafer while also reducing the amount of laser radiation required to achieve controlled surface melting, recrystallization and cooling.
    Type: Grant
    Filed: June 22, 2011
    Date of Patent: February 18, 2014
    Assignee: IPG Photonics Corporation
    Inventor: Bernhard Piwczyk
  • Patent number: 8647959
    Abstract: A method of fabricating a semiconductor device includes forming a bottom electrode material layer containing aluminum and copper over the substrate. An insulating material layer and a top electrode material layer are sequentially formed on the surface of the bottom electrode material layer. A photoresist pattern is formed on the top electrode material layer, and then the top electrode material layer is patterned to form a top electrode by using the photoresist pattern as mask. The photoresist pattern is removed by plasma ash and then an alloy process is performed to the bottom electrode material layer. Thereafter, the insulating material layer, and the bottom electrode material layer are patterned to form a patterned insulating layer and a patterned bottom electrode layer.
    Type: Grant
    Filed: September 8, 2011
    Date of Patent: February 11, 2014
    Assignee: United Microelectronics Corp.
    Inventor: Chun-Chen Hsu
  • Patent number: 8629069
    Abstract: An object is to provide a semiconductor device of which a manufacturing process is not complicated and by which cost can be suppressed, by forming a thin film transistor using an oxide semiconductor film typified by zinc oxide, and a manufacturing method thereof. For the semiconductor device, a gate electrode is formed over a substrate; a gate insulating film is formed covering the gate electrode; an oxide semiconductor film is formed over the gate insulating film; and a first conductive film and a second conductive film are formed over the oxide semiconductor film. The oxide semiconductor film has at least a crystallized region in a channel region.
    Type: Grant
    Filed: August 1, 2008
    Date of Patent: January 14, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Kengo Akimoto, Tatsuya Honda, Norihito Sone
  • Publication number: 20130330938
    Abstract: A method for forming a layer of material on a semiconductor wafer using a semiconductor furnace that includes a thermal reaction chamber having a heating system having a plurality of rotatable heaters for providing a heating zone with uniform temperature profile is provided. The method minimizes temperature variations within the thermal reaction chamber and promotes uniform thickness of the film deposited on the wafers.
    Type: Application
    Filed: August 12, 2013
    Publication date: December 12, 2013
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Zin-Chang WEI, Hsin-Hsien WU, Chun-Lin CHANG
  • Patent number: 8603904
    Abstract: A silicon substrate having a first silicon oxide film formed via thermal oxidation and a second silicon oxide film formed via chemical vapor deposition and the like is subjected to preprocessing prior to selective epitaxial growth, wherein both the first and second silicon oxide films are etched with the same etching rate so as to completely remove the first silicon oxide film. Thus, it is possible to precisely control the sizes of contact holes formed in the silicon substrate, thus preventing contact plugs from short-circuiting with silicon epitaxial layers.
    Type: Grant
    Filed: February 13, 2009
    Date of Patent: December 10, 2013
    Assignee: Elpida Memory, Inc.
    Inventor: Takayuki Matsui
  • Patent number: 8586488
    Abstract: A computer program product and system for configuring J electromagnetic radiation sources (J?2) to simultaneously irradiate a substrate. Each source has a different function of wavelength and angular distribution of emitted radiation. The substrate includes a base layer and I stacks (I?2) thereon. Pj denotes a same source-specific normally incident energy flux on each stack from source j. For simultaneous exposure of the I stacks to radiation from the J sources, Pj is computed such that an error E being a function of |W1?S1|, |W2?S2|, |WI?SI| is about minimized with respect to Pj (j=1, . . . , J). Wi and Si respectively denote an actual and target energy flux transmitted into the substrate via stack i (i=1, . . . , I). The stacks are exposed to the radiation from the sources characterized by the computed Pj (j=1, . . . , J).
    Type: Grant
    Filed: August 23, 2010
    Date of Patent: November 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: Brent Alan Anderson, Edward Joseph Nowak
  • Patent number: 8575043
    Abstract: A semiconductor device includes a plurality of active areas disposed on a semiconductor substrate. A manufacturing method of the semiconductor device includes performing a first annealing process on the semiconductor substrate by emitting a first laser alone a first scanning direction, and performing a second annealing process on the semiconductor substrate by emitting a second laser alone a second scanning direction. The first scanning direction and the second scanning direction have an included angle.
    Type: Grant
    Filed: July 26, 2011
    Date of Patent: November 5, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Chan-Lon Yang, Tzu-Feng Kuo, Hsin-Huei Wu, Ching-I Li, Shu-Yen Chan
  • Patent number: 8569167
    Abstract: Methods of forming a Ni material on a bond pad are disclosed. The methods include forming a dielectric material over a bond pad, forming an opening within the dielectric material to expose the bond pad, curing the dielectric material to form a surface of the dielectric material having a steep curvilinear profile, and forming a nickel material over the at least one bond pad. The dielectric material having a steep curvilinear profile may be formed by altering at least one of a curing process of the dielectric material and a thickness of the dielectric material. The dielectric material may be used to form a relatively thick Ni material on bond pads smaller than about 50 ?m. Semiconductor structures formed by such methods are also disclosed.
    Type: Grant
    Filed: March 29, 2011
    Date of Patent: October 29, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Jaspreet S. Ghandi, Don L. Yates, Yangyang Sun
  • Publication number: 20130280925
    Abstract: A far-infrared plane heater 6 is placed in a closed-container-shaped device body 3 of an oxidation annealing device 1, an oxygen addition gas feed pipe 8 through which an oxygen addition gas containing water vapor and oxygen is fed into the device body 3 is connected to a gas exhaust pipe 11 through which gas in the device body 3 is discharged, and jet nozzles 16 through which the oxygen addition gas containing water vapor and oxygen is ejected to an oxygen-deficient portion of a substrate 50 are brought into communication with the oxygen addition gas feed pipe 8. This allows oxidation annealing of a large substrate at high throughput and low cost while preventing a leakage current.
    Type: Application
    Filed: October 28, 2011
    Publication date: October 24, 2013
    Applicant: SHARP KABUSHIKI KAISHA
    Inventors: Yoshifumi Ota, Masato Hashimoto
  • Patent number: 8557721
    Abstract: A method that is performed for heat treating a semiconductor wafer in a process chamber, as an intermediate part of an overall multi-step technique for processing the wafer, includes applying an energy transfer layer to at least a portion of the wafer, and exposing the wafer to an energy source in the process chamber in a way which subjects the wafer to a thermal profile such that the energy transfer layer influences at least one part of the thermal profile. The thermal profile has at least a first elevated temperature event. The method further includes, in time relation to the thermal profile, removing the energy transfer layer in the process chamber at least sufficiently for subjecting the wafer to a subsequent step. An associated intermediate condition of the wafer is described.
    Type: Grant
    Filed: February 13, 2012
    Date of Patent: October 15, 2013
    Assignee: Mattson Technology, Inc.
    Inventor: Paul J. Timans
  • Patent number: 8551893
    Abstract: An object is to provide a semiconductor device of which a manufacturing process is not complicated and by which cost can be suppressed, by forming a thin film transistor using an oxide semiconductor film typified by zinc oxide, and a manufacturing method thereof. For the semiconductor device, a gate electrode is formed over a substrate; a gate insulating film is formed covering the gate electrode; an oxide semiconductor film is formed over the gate insulating film; and a first conductive film and a second conductive film are formed over the oxide semiconductor film. The oxide semiconductor film has at least a crystallized region in a channel region.
    Type: Grant
    Filed: August 1, 2008
    Date of Patent: October 8, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Kengo Akimoto, Tatsuya Honda, Norihito Sone
  • Patent number: 8546271
    Abstract: A method for selective oxidation of silicon containing materials in a semiconductor device is disclosed and claimed. In one aspect, a rapid thermal processing apparatus is used to selectively oxidize a substrate by in-situ steam generation at high pressure in a hydrogen rich atmosphere. Other materials, such as metals and barrier layers, in the substrate are not oxidized.
    Type: Grant
    Filed: May 27, 2011
    Date of Patent: October 1, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Yoshitaka Yokota, Norman Tam, Balasubramanian Ramachandran, Martin John Ripley
  • Patent number: 8536072
    Abstract: A semiconductor process is provided, including following steps. A polysilicon layer is formed on a substrate. An asymmetric dual-side heating treatment is performed to the polysilicon layer, wherein a power for a front-side heating is different from a power for a backside heating.
    Type: Grant
    Filed: February 7, 2012
    Date of Patent: September 17, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Chan-Lon Yang, Ching-Nan Hwang, Chi-Heng Lin, Chun-Yao Yang, Ger-Pin Lin, Ching-I Li
  • Patent number: 8507302
    Abstract: Techniques for fabricating metal devices, such as vertical light-emitting diode (VLED) devices, power devices, laser diodes, and vertical cavity surface emitting laser devices, are provided. Devices produced accordingly may benefit from greater yields and enhanced performance over conventional metal devices, such as higher brightness of the light-emitting diode and increased thermal conductivity. Moreover, the invention discloses techniques in the fabrication arts that are applicable to GaN-based electronic devices in cases where there is a high heat dissipation rate of the metal devices that have an original non- (or low) thermally conductive and/or non- (or low) electrically conductive carrier substrate that has been removed.
    Type: Grant
    Filed: October 11, 2006
    Date of Patent: August 13, 2013
    Assignee: SemiLEDs Optoelectronics Co., Ltd.
    Inventors: Chen-Fu Chu, Hao-Chun Cheng, Trung Tri Doan, Feng-Hsu Fan
  • Patent number: 8507368
    Abstract: High throughput systems and processes for recrystallizing thin film semiconductors that have been deposited at low temperatures on a substrate are provided. A thin film semiconductor workpiece is irradiated with a laser beam to melt and recrystallize target areas of the surface exposed to the laser beam. The laser beam is shaped into one or more pulses. The beam pulses have suitable dimensions and orientations to pattern the laser beam radiation so that the areas targeted by the beam have dimensions and orientations that are conductive to semiconductor recrystallization. The workpiece is mechanically translated along linear paths relative to the laser beam to process the entire surface of the workpiece at high speeds. Position sensitive triggering of a laser can be used to generate laser beam pulses to melt and recrystallize semiconductor material at precise locations on the surface of the workpiece while it is translated on a motorized stage.
    Type: Grant
    Filed: August 23, 2012
    Date of Patent: August 13, 2013
    Assignee: The Trustees of Columbia University in the City of New York
    Inventor: James S. Im
  • Patent number: 8501638
    Abstract: Laser annealing scanning methods that result in reduced annealing non-uniformities in semiconductor device structures under fabrication are disclosed. The methods include defining a length of an annealing laser beam such that the tails of the laser beam resided only within scribe lines that separate the semiconductor device structures. The annealing laser beam tails from adjacent scan path segments can overlap or not overlap within the scribe lines. The cross-scan length of the annealing laser beam can be selected to simultaneously scan more than one semiconductor device structure, as long as annealing laser beam is configured such that the tails do not fall within a semiconductor device structure.
    Type: Grant
    Filed: April 27, 2012
    Date of Patent: August 6, 2013
    Assignee: Ultratech, Inc.
    Inventor: Arthur W. Zafiropoulo
  • Patent number: 8486813
    Abstract: A silicon wafer and fabrication method thereof are provided. The silicon wafer includes a first denuded zone formed with a predetermined depth from a top surface of the silicon wafer, the first denuded zone being formed with a depth ranging from approximately 20 ?m to approximately 80 ?m from the top surface, and a bulk area formed between the first denuded zone and a backside of the silicon wafer, the bulk area having a concentration of oxygen uniformly distributed within a variation of 10% over the bulk area.
    Type: Grant
    Filed: June 1, 2011
    Date of Patent: July 16, 2013
    Assignee: Magnachip Semiconductor, Ltd.
    Inventor: Jung-Goo Park
  • Patent number: 8490029
    Abstract: A method of fabricating a device using a sequence of annealing processes is provided. More particularly, a logic NFET device fabricated using a low temperature anneal to eliminate dislocation defects, method of fabricating the NFET device and design structure is shown and described. The method includes forming a stress liner over a gate structure and subjecting the gate structure and stress liner to a low temperature anneal process to form a stacking force in single crystalline silicon near the gate structure as a way to memorized the stress effort. The method further includes stripping the stress liner from the gate structure and performing an activation anneal at high temperature on device.
    Type: Grant
    Filed: March 15, 2012
    Date of Patent: July 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Anthony G. Domenicucci, Terence L. Kane, Shreesh Narasimha, Karen A. Nummy, Viorel Ontalus, Yun-Yu Wang
  • Patent number: 8476171
    Abstract: The present invention is to provide a heat treatment method for effectively eliminating Te deposits in a ZnTe single crystal substrate, and a ZnTe single crystal substrate having an optical characteristic suitable for use of a light modulation element and having a thickness of 1 mm or more. A heat treatment method of a ZnTe single crystal substrate, includes: a first step of increasing a temperature the ZnTe single crystal substrate to a first heat treatment temperature T1, and retaining the temperature of the substrate for a predetermined time; and a second step of gradually reducing the temperature of the substrate from the first heat treatment temperature T1 to a second heat treatment temperature T2 lower than the heat treatment temperature T1 with a predetermined rate, wherein the first heat treatment temperature T1 is set in a range of 700° C.?T1?1250° C. and the second heat treatment temperature T2 is set in a range of T2?T1?50.
    Type: Grant
    Filed: July 18, 2006
    Date of Patent: July 2, 2013
    Assignee: Nippon Mining & Metals Co., Ltd.
    Inventors: Toshiaki Asahi, Kenji Sato, Takayuki Shimizu
  • Publication number: 20130161797
    Abstract: In order to correct warpage resulting from the formation of a multilayer film, provided are a single crystal substrate which includes a heat-denatured layer provided in one of two regions including a first region and a second region obtained by bisecting the single crystal substrate in a thickness direction thereof, and which is warped convexly toward a side of a surface of the region provided with the heat-denatured layer, a manufacturing method for the single crystal substrate, a manufacturing method for a single crystal substrate with a multilayer film using the single crystal substrate, and an element manufacturing method using the manufacturing method for a single crystal substrate with a multilayer film.
    Type: Application
    Filed: March 4, 2011
    Publication date: June 27, 2013
    Applicants: DISCO CORPORATION, NAMIKI SEIMITSU HOUSEKI KABUSHIKI KAISHA
    Inventors: Hideo Aida, Natsuko Aota, Hitoshi Hoshino, Kenji Furuta, Tomosaburo Hamamoto, Keiji Honjo
  • Publication number: 20130161794
    Abstract: Provided are an internally reformed substrate for epitaxial growth having an arbitrary warpage shape and/or an arbitrary warpage amount, an internally reformed substrate with a multilayer film using the internally reformed substrate for epitaxial growth, a semiconductor device, a bulk semiconductor substrate, and manufacturing methods therefor. The internally reformed substrate for epitaxial growth includes: a single crystal substrate; and a heat-denatured layer formed in an internal portion of the single crystal substrate by laser irradiation to the single crystal substrate.
    Type: Application
    Filed: March 4, 2011
    Publication date: June 27, 2013
    Applicants: DISCO CORPORATION, NAMIKI SEIMITSU HOUSEKI KABUSHIKI KAISHA
    Inventors: Hideo Aida, Natsuko Aota, Hitoshi Hoshino, Kenji Furuta, Tomosaburo Hamamoto, Keiji Honjo
  • Patent number: 8466042
    Abstract: A method for manufacturing separated micromechanical components situated on a silicon substrate includes the following steps of a) providing separation trenches on the substrate via an anisotropic plasma deep etching method, b) irradiating the area of the silicon substrate which forms the base of the separation trenches using laser light, the silicon substrate being converted from a crystalline state into an at least partially amorphous state by the irradiation in this area, and c) inducing mechanical stresses in the substrate. In one specific embodiment, cavities are etched simultaneously with the etching of the separation trenches. The etching depths can be controlled via the RIE lag effect.
    Type: Grant
    Filed: April 3, 2009
    Date of Patent: June 18, 2013
    Assignee: Robert Bosch GmbH
    Inventors: Franz Laermer, Kathrin Van Teeffelen, Christina Leinenbach
  • Patent number: 8460465
    Abstract: A support ring for supporting a monocrystalline silicon semiconductor wafer during a thermal treatment of the semiconductor wafer has outer and inner lateral surfaces and a curved surface extending from the outer lateral surface to the inner lateral surface, this curved surface serving for the placement of the semiconductor wafer. The curved surface has a radius of curvature of not less than 6000 mm and not more than 9000 mm for 300 mm diameter wafers, or a radius of curvature of not less than 9000 mm and not more than 14,000 mm for 450 mm diameter wafers. Use of the support ring during thermal treatment reduces slip and improves wafer nanotopography.
    Type: Grant
    Filed: October 17, 2011
    Date of Patent: June 11, 2013
    Assignee: Siltronic AG
    Inventors: Erich Daub, Raimund Kaiss, Michael Kloesler, Thomas Loch
  • Publication number: 20130143417
    Abstract: A method and apparatus for forming a crystalline semiconductor layer on a substrate are provided. A semiconductor layer is formed by vapor deposition. A pulsed laser melt/recrystallization process is performed to convert the semiconductor layer to a crystalline layer. Laser, or other electromagnetic radiation, pulses are formed into a pulse train and uniformly distributed over a treatment zone, and successive neighboring treatment zones are exposed to the pulse train to progressively convert the deposited material to crystalline material.
    Type: Application
    Filed: November 16, 2012
    Publication date: June 6, 2013
    Inventor: STEPHEN MOFFATT
  • Publication number: 20130143418
    Abstract: A method of performing rapid thermal annealing on a substrate including heating the substrate to a first temperature in a rapid thermal annealing system having a front-side heating source and a backside heating source. The method further includes raising the temperature of the substrate from the first temperature to a second temperature greater than the first temperature. The backside heating source provides a greater amount of heat than the front-side heating source during the raising of the temperature of the substrate.
    Type: Application
    Filed: January 30, 2013
    Publication date: June 6, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chun Hsiung TSAI, Chii-Ming WU, Da-Wen LIN
  • Patent number: 8450219
    Abstract: An Al2O3 thin film layer is fabricated. Atmospheric pressure chemical vapor deposition (APCVD) is processed in a normal atmospheric pressure and a low temperature. On a surface of a p-type or n-type silicon crystal wafer having a purity between 5N (99.999%) and 9N (99.9999999%), the Al2O3 thin film layer is deposited and fabricated. The deposition and fabrication are done to obtain chemical passivation and field effect passivation. In this way, the present invention can be applied in solar cells and other photoelectric devices with reduced leakage of surface currents and improved photoelectric conversion.
    Type: Grant
    Filed: September 29, 2011
    Date of Patent: May 28, 2013
    Assignee: Atomic Energy Council—Institute of Nuclear Research
    Inventor: Tsun-Neng Yang
  • Patent number: 8440581
    Abstract: The disclosed systems and method for non-periodic pulse sequential lateral solidification relate to processing a thin film. The method for processing a thin film, while advancing a thin film in a selected direction, includes irradiating a first region of the thin film with a first laser pulse and a second laser pulse and irradiating a second region of the thin film with a third laser pulse and a fourth laser pulse, wherein the time interval between the first laser pulse and the second laser pulse is less than half the time interval between the first laser pulse and the third laser pulse. In some embodiments, each pulse provides a shaped beam and has a fluence that is sufficient to melt the thin film throughout its thickness to form molten zones that laterally crystallize upon cooling. In some embodiments, the first and second regions are adjacent to each other. In some embodiments, the first and second regions are spaced a distance apart.
    Type: Grant
    Filed: May 10, 2010
    Date of Patent: May 14, 2013
    Assignee: The Trustees of Columbia University in the City of New York
    Inventors: James S. Im, Ui-Jin Chung, Alexander B. Limanov, Paul C. Van Der Wilt
  • Patent number: 8431495
    Abstract: An apparatus and method are provided which allow the low cost patterned deposition of material onto a workpiece. A stencil mask, having chamfered edges is applied to the surface of the workpiece. The material is then deposited onto the workpiece, such as by PECVD. Because of the chamfered edges, the material thickness is much more uniform than is possible with traditional stencil masks. Stencil masks having a variety of cross sectional patterns are disclosed which improve deposition uniformity.
    Type: Grant
    Filed: July 8, 2010
    Date of Patent: April 30, 2013
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Helen Maynard, George Papasouliotis
  • Publication number: 20130078823
    Abstract: A method of manufacturing a semiconductor device including: mounting a substrate on a substrate mounting member that is disposed in a reaction container; heating the substrate at a predetermined processing temperature and supplying a first gas and a second gas to the substrate to process the substrate; stopping supply of the first gas and the second gas, and supplying an inert gas into the reaction container; and unloading the substrate to outside the reaction container.
    Type: Application
    Filed: September 25, 2012
    Publication date: March 28, 2013
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventor: Hitachi Kokusai Electric Inc.
  • Patent number: 8389423
    Abstract: One embodiment of the present invention provides a semiconductor device manufacturing method, including: performing a laser spike annealing, by irradiating light, whose wavelength is 10 ?m to 11 ?m, onto a semiconductor substrate including: an active area; a circuit pattern; and a dummy pattern formed at a position, whose distance from an end of the active area is equal to or more than 10 ?m and equal to or less than 11 ?m, at a pitch equal to or more than 10 nm and equal to or less than 510 nm, while setting an angle formed between an arrangement direction of the dummy pattern and a projection direction of the light to be equal to or more than 0° and equal to or less than 30°.
    Type: Grant
    Filed: March 16, 2012
    Date of Patent: March 5, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hiroshi Ohno
  • Patent number: 8372683
    Abstract: An RTP heating system and an RTP heating method, which can heat a photovoltaic-device intermediate product having a glass substrate, a Mo layer, and a light absorption layer in formation. The RTP heating system is composed of a chamber; a support member located in the chamber; a heating element mounted in the chamber for emitting infrared rays for heating; and a plurality of temperature sensors and a temperature control device for sensing and controlling thermal sources from the heating element and the support member. The infrared rays can be mostly reflected off the Mo layer to apply less direct heating to the glass substrate. Accordingly, the upper and lower surfaces of the photovoltaic-device intermediate product can be heated under different temperatures separately to prevent the glass substrate below the photovoltaic-device intermediate product from softening and deformation and to allow production of the light absorption layer on the Mo layer.
    Type: Grant
    Filed: January 11, 2011
    Date of Patent: February 12, 2013
    Assignee: ADPV Technology Limited
    Inventor: Shiezen Steven Huang
  • Publication number: 20130029499
    Abstract: The present invention generally relates to methods for thermally processing substrates. In one embodiment, a substrate having an amorphous thin film thereon is subjected to a first pulse of electromagnetic energy having a first fluence insufficient to complete thermal processing. After a predetermined amount of time, the substrate is then subjected to a second pulse of electromagnetic energy having a second fluence greater than the first fluence. The second fluence is generally sufficient to complete the thermal processing. Exposing the substrate to the lower fluence first pulse before the second pulse reduces damage to a thin film disposed on the substrate. In another embodiment, a substrate is exposed to a plurality of electromagnetic energy pulses. The plurality of electromagnetic energy pulses are spaced at increasing intervals to reduce the rate of recrystallization of a film on the substrate, thus increasing the size of the crystals formed during the recrystallization.
    Type: Application
    Filed: July 19, 2012
    Publication date: January 31, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventor: Aaron Muir Hunter
  • Patent number: 8357620
    Abstract: An embodiment of the invention provides a laser annealing method, including the steps of radiating a laser beam to an amorphous film on a substrate while scanning the laser beam for the amorphous film, crystallizing the amorphous film, detecting a light quantity of laser beam reflected from the substrate and a scanning speed of the laser beam while the radiation and the scanning of the laser beam are carried out for the amorphous film, and controlling a radiation level and the scanning speed of the laser beam based on results of comparison of the light quantity of laser beam reflected from the substrate, and the scanning speed of the laser beam with respective preset references.
    Type: Grant
    Filed: October 6, 2009
    Date of Patent: January 22, 2013
    Assignee: Sony Corporation
    Inventors: Katsuji Takagi, Akio Machida, Toshio Fujino, Tadahiro Kono, Norio Fukasawa, Shinsuke Haga
  • Patent number: 8354351
    Abstract: A system for configuring and utilizing J electromagnetic radiation sources (J?2) to serially irradiate a substrate. Each source has a different function of wavelength and angular distribution of emitted radiation. The substrate includes a base layer and I stacks (I?2; J?I) thereon. Pj denotes a same source-specific normally incident energy flux on each stack from source j. In each of I independent exposure steps, the I stacks are concurrently exposed to radiation from the J sources. Vi and Si respectively denote an actual and target energy flux transmitted into the substrate via stack i in exposure step i (i=1, . . . , I). t(i) and Pt(i) are computed such that: Vi is maximal through deployment of source t(i) as compared with deployment of any other source for i=1, . . . , I; and an error E being a function of |V1?S1|, |V2?S2|, . . . , |VI?SI| is about minimized with respect to Pi (i=1, . . . , I).
    Type: Grant
    Filed: November 2, 2009
    Date of Patent: January 15, 2013
    Assignee: International Business Machines Corporation
    Inventors: Brent Alan Anderson, Edward Joseph Nowak
  • Patent number: 8334194
    Abstract: Methods and apparatus for fabricating a semiconductor sheet are provided. In one aspect, a method for fabricating a semiconductor wafer includes applying a layer of semiconductor material across a portion of a setter material, introducing the setter material and the semiconductor material to a predetermined thermal gradient to form a melt, wherein the thermal gradient includes a predetermined nucleation and growth region, and forming at least one local cold spot in the nucleation and growth region to facilitate inducing crystal nucleation at the at least one desired location.
    Type: Grant
    Filed: February 6, 2008
    Date of Patent: December 18, 2012
    Assignee: Motech Americas, LLC
    Inventors: Ralf Jonczyk, James Rand
  • Patent number: 8324011
    Abstract: The present invention provides a method of annealing a semiconductor by applying a temperature-dependant phase switch layer to a semiconductor structure. The temperature-dependant phase switch layer changes phase from amorphous to crystalline at a predetermined temperature. When the semiconductor structure is annealed, electromagnetic radiation passes through the temperature-dependant phase switch layer before reaching the semiconductor structure. When a desired annealing temperature is reached the temperature-dependant phase switch layer substantially blocks the electromagnetic radiation from reaching the semiconductor structure. As a result, the semiconductor is annealed at a consistent temperature across the wafer. The temperature at which the temperature-dependant phase switch layer changes phase can be controlled by an ion implantation process.
    Type: Grant
    Filed: September 11, 2007
    Date of Patent: December 4, 2012
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Chyiu Hyia Poon, Alex See, Mei Sheng Zhou
  • Patent number: 8318529
    Abstract: A technique for fabricating an image sensor including a pixel circuitry region and a peripheral circuitry region includes fabricating front side components on a front side of the image sensor. A dopant layer is implanted on a backside of the image sensor. A anti-reflection layer is formed on the backside and covers a first portion of the dopant layer under the pixel circuitry region while exposing a second portion of the dopant layer under the peripheral circuitry region. The first portion of the dopant layer is laser annealed from the backside of the image sensor through the anti-reflection layer. The anti-reflection layer increases a temperature of the first portion of the dopant layer during the laser annealing.
    Type: Grant
    Filed: August 3, 2012
    Date of Patent: November 27, 2012
    Assignee: OmniVision Technologies, Inc.
    Inventors: Duli Mao, Hsin-Chih Tai, Vincent Venezia, Yin Qian, Howard E. Rhodes
  • Patent number: 8314369
    Abstract: A method and apparatus are provided for treating a substrate. The substrate is positioned on a support in a thermal treatment chamber. Electromagnetic radiation is directed toward the substrate to anneal a portion of the substrate. Other electromagnetic radiation is directed toward the substrate to preheat a portion of the substrate. The preheating reduces thermal stresses at the boundary between the preheat region and the anneal region. Any number of anneal and preheat regions are contemplated, with varying shapes and temperature profiles, as needed for specific embodiments. Any convenient source of electromagnetic radiation may be used, such as lasers, heat lamps, white light lamps, or flash lamps.
    Type: Grant
    Filed: September 17, 2008
    Date of Patent: November 20, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Stephen Moffatt, Abhilash J. Mayur, Sundar Ramamurthy, Joseph Ranish, Aaron Hunter
  • Patent number: 8313965
    Abstract: A method and apparatus for forming a crystalline semiconductor layer on a substrate are provided. A semiconductor layer is formed by vapor deposition. A pulsed laser melt/recrystallization process is performed to convert the semiconductor layer to a crystalline layer. Laser, or other electromagnetic radiation, pulses are formed into a pulse train and uniformly distributed over a treatment zone, and successive neighboring treatment zones are exposed to the pulse train to progressively convert the deposited material to crystalline material.
    Type: Grant
    Filed: November 23, 2010
    Date of Patent: November 20, 2012
    Assignee: Applied Materials, Inc.
    Inventor: Stephen Moffatt
  • Patent number: 8309474
    Abstract: Systems and methods for performing ultrafast laser annealing in a manner that reduces pattern density effects in integrated circuit manufacturing are disclosed. The method includes scanning at least one first laser beam over the patterned surface of a substrate. The at least one first laser beam is configured to heat the patterned surface to a non-melt temperature Tnonmelt that is within about 400° C. of the melt temperature Tmelt. The method also includes scanning at least one second laser beam over the patterned surface and relative to the first laser beam. The at least one second laser beam is pulsed and is configured to heat the patterned surface from the non-melt temperature provided by the at least one first laser beam up to the melt temperature.
    Type: Grant
    Filed: June 7, 2011
    Date of Patent: November 13, 2012
    Assignee: Ultratech, Inc.
    Inventors: Yun Wang, Andrew M. Hawryluk
  • Patent number: 8288199
    Abstract: An object is to provide a semiconductor device of which a manufacturing process is not complicated and by which cost can be suppressed, by forming a thin film transistor using an oxide semiconductor film typified by zinc oxide, and a manufacturing method thereof. For the semiconductor device, a gate electrode is formed over a substrate; a gate insulating film is formed covering the gate electrode; an oxide semiconductor film is formed over the gate insulating film; and a first conductive film and a second conductive film are formed over the oxide semiconductor film. The oxide semiconductor film has at least a crystallized region in a channel region.
    Type: Grant
    Filed: August 1, 2008
    Date of Patent: October 16, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Kengo Akimoto, Tatsuya Honda, Norihito Sone
  • Patent number: 8278163
    Abstract: A semiconductor processing apparatus includes: a stage on which a substrate having a semiconductor film to be processed is to be mounted; a supply section that supplies a plurality of energy beams onto the semiconductor film mounted on the stage in such a way that irradiation points of the energy beams are aligned at given intervals; and a control section that moves the plurality of energy beams and the substrate relative to each other in a direction not in parallel to alignment of the irradiation points of the plurality of energy beams supplied by the supply section, and scans the semiconductor film with the irradiation points of the plurality of energy beams in parallel to thereby control a heat treatment on the semiconductor film.
    Type: Grant
    Filed: July 23, 2009
    Date of Patent: October 2, 2012
    Assignee: Sony Corporation
    Inventors: Akio Machida, Toshio Fujino, Tadahiro Kono, Katsuji Takagi, Shinsuke Haga
  • Publication number: 20120244725
    Abstract: First irradiation which causes an emission output from a flash lamp to reach its maximum value over a time period in the range of 1 to 20 milliseconds is performed to increase the temperature of a front surface of a semiconductor wafer from a preheating temperature to a target temperature for a time period in the range of 1 to 20 milliseconds. This achieves the activation of the impurities. Subsequently, second irradiation which gradually decreases the emission output from the maximum value over a time period in the range of 3 to 50 milliseconds is performed to maintain the temperature of the front surface within a ±25° C. range around the target temperature for a time period in the range of 3 to 50 milliseconds. This prevents the occurrence of process-induced damage while suppressing the diffusion of the impurities.
    Type: Application
    Filed: March 12, 2012
    Publication date: September 27, 2012
    Inventors: Kazuhiko FUSE, Shinichi KATO, Kenichi YOKOUCHI
  • Publication number: 20120238049
    Abstract: In a method for removing at least sections of at least one semiconductor layer (4) of a layer stack (1), an optically dense metallisation layer (3) is heated such that the semiconductor layer located on top is detached.
    Type: Application
    Filed: November 29, 2010
    Publication date: September 20, 2012
    Applicant: Manz Automation AG
    Inventors: Vasile Raul Moldovan, Christoph Tobias Neugebauer
  • Patent number: 8268642
    Abstract: An object is to suppress a significant change in electrical characteristics of thin film transistors and a deviation thereof from the designed range due to static electricity, and to improve the yield in manufacturing semiconductor devices. In order to prevent a substrate from being charged with static electricity by heat treatment or to favorably reduce static electricity with which a substrate is charged in a manufacturing process of a semiconductor device, heat treatment is performed with a substrate provided with a thin film transistor stored in a conductive container. In addition, a heating apparatus for performing the heat treatment is electrically connected to a ground potential, and the container and the substrate are also electrically connected to the ground potential.
    Type: Grant
    Filed: September 29, 2010
    Date of Patent: September 18, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shuhei Yoshitomi, Masashi Tsubuku, Shunpei Yamazaki
  • Publication number: 20120220106
    Abstract: A carbon nanotube forming method including providing a target substrate to be processed, a catalytic metal layer being formed on a surface of the target substrate; producing catalytic fine metal particles whose surfaces are oxidized by action of an oxygen plasma on the catalytic metal layer at a temperature T1; and activating the oxidized surfaces of the catalytic fine metal particles by reducing the oxidized surfaces of the catalytic fine metal particles by action of a hydrogen plasma on the catalytic fine metal particles at a temperature T2 higher than the temperature T1. The method further includes growing a carbon nanotube on the activated catalytic fine metal particles by thermal CVD at a temperature T3.
    Type: Application
    Filed: February 23, 2012
    Publication date: August 30, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takashi MATSUMOTO, Osayuki Akiyama, Kenjiro Koizumi
  • Publication number: 20120214319
    Abstract: A film deposited on substrate may originally has a high surface recombination velocity (SRV). In order to suppress the SRV and increase the minority carrier lifetime, the substrate may be treated annealing at a high temperature in gas ambient containing O2 or O2?. The substrate may also be treated annealing at a low or mild temperature in gas ambient containing H2 or H+. The process has been found to improve the passivation effect of silicon oxide thin films on a silicon substrate. Further, the process can be achieved using the same production steps normally applied to the solar cell to create its top and bottom metal contacts without additional heating cycles are required.
    Type: Application
    Filed: February 14, 2012
    Publication date: August 23, 2012
    Applicant: Natcore Technology, Inc.
    Inventor: Yuanchang Zhang
  • Publication number: 20120208377
    Abstract: A method that is performed for heat treating a semiconductor wafer in a process chamber, as an intermediate part of an overall multi-step technique for processing the wafer, includes applying an energy transfer layer to at least a portion of the wafer, and exposing the wafer to an energy source in the process chamber in a way which subjects the wafer to a thermal profile such that the energy transfer layer influences at least one part of the thermal profile. The thermal profile has at least a first elevated temperature event. The method further includes, in time relation to the thermal profile, removing the energy transfer layer in the process chamber at least sufficiently for subjecting the wafer to a subsequent step. An associated intermediate condition of the wafer is described.
    Type: Application
    Filed: February 13, 2012
    Publication date: August 16, 2012
    Inventor: Paul J. Timans
  • Patent number: 8236709
    Abstract: A method of fabricating a device using a sequence of annealing processes is provided. More particularly, a logic NFET device fabricated using a low temperature anneal to eliminate dislocation defects, method of fabricating the NFET device and design structure is shown and described. The method includes forming a stress liner over a gate structure and subjecting the gate structure and stress liner to a low temperature anneal process to form a stacking force in single crystalline silicon near the gate structure as a way to memorized the stress effort. The method further includes stripping the stress liner from the gate structure and performing an activation anneal at high temperature on device.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: August 7, 2012
    Assignee: International Business Machines Corporation
    Inventors: Anthony G. Domenicucci, Terence L. Kane, Shreesh Narasimha, Karen A. Nummy, Viorel Ontalus, Yun-Yu Wang
  • Publication number: 20120190216
    Abstract: A semiconductor structure is provided. In some cases, an absorber having a low deposition temperature is applied to at least a portion of the structure. At least a portion of the structure is subjected to a long flash anneal process.
    Type: Application
    Filed: April 29, 2011
    Publication date: July 26, 2012
    Applicant: International Business Machines Corporation
    Inventors: Kevin K. Chan, Eric C. Harley, Isaac Lauer, Kam-Leung Lee, Paul A. Ronsheim