Performance Monitoring Patents (Class 700/108)
  • Patent number: 7917240
    Abstract: A method and system of monitoring multivariate process data in a process plant, where the multivariate process data comprises a plurality of process variables each having a plurality of observations, includes defining each process variable as a process variable vector comprising a set of observation components, where the set of observation components comprises time dependent process data corresponding to the observations of the process variable, calculation, a multivariable transformation as a function of a plurality of process variable vector transformation each corresponding to one of the process variables, where each process variable vector transformation is a function of a univariate variable unifying the process variables, and representing the operation of the process based on the multivariable transformation, where the representation of the operation of the process designates a multivariate projection of the process data by the univariate variable for each of the process variables.
    Type: Grant
    Filed: March 20, 2007
    Date of Patent: March 29, 2011
    Assignee: Fisher-Rosemount Systems, Inc.
    Inventor: Nikola Samardzija
  • Publication number: 20110066274
    Abstract: A method for analyzing performance of a manufacturing system includes constructing a descriptive representation of the manufacturing system, selectively binding the descriptive representation to an analytical module using a software isolation layer, invoking the analytical module, and utilizing an output of the analytical module using an end-user application program configured to utilize the output.
    Type: Application
    Filed: October 25, 2010
    Publication date: March 17, 2011
    Applicant: GM GLOBAL TECHNOLOGY OPERATIONS, INC.
    Inventors: Craig A. Jackson, Jonathan H. Owen
  • Patent number: 7908025
    Abstract: Disclosed herein is technology for, among other things, a semiconductor manufacturing apparatus, and a control system and a control method therefor, by which a target parameter that is measured from a wafer processed with a plurality of processing parameters that are processing conditions of the semiconductor manufacturing apparatus to process a wafer, a multiple classification analysis is performed with the plurality of processing parameters and the target parameter to calculate a model formula expressing the target parameter in a selected parameter, a predicted value of the target parameter of the wafer being processed by use of the model formula is calculated, while the processing is being performed, the processing parameters of the processing is modified on the basis of the predicted value, and the processing is continuously performed.
    Type: Grant
    Filed: December 6, 2006
    Date of Patent: March 15, 2011
    Assignee: Spansion LLC
    Inventors: Kazuhiro Miwa, Kazuhiro Watanabe, Akito Mifune
  • Patent number: 7908023
    Abstract: A method of establishing a lot grading system for lots in a semiconductor manufacturing process includes defining a new lot grade for at least one lot in the semiconductor manufacturing process. The at least one lot is has a current lot grade and the new lot grade is dependent upon the current lot grade. The new lot grade is saved in a grading referenced database and the at least one lot in the semiconductor manufacturing process is updated with the new lot grade.
    Type: Grant
    Filed: January 14, 2008
    Date of Patent: March 15, 2011
    Assignee: International Business Machines Corporation
    Inventors: Edward J. Crawford, Yunsheng Song, Tso-Hui Ting
  • Patent number: 7908024
    Abstract: A method for detecting tool errors to stop a process recipe for a single chamber is disclosed. When a recipe error for one of chamber of a process tool is detected, only the chamber with the recipe error is terminated and other chambers are allowed to proceed with their recipe processes for preventing excursions.
    Type: Grant
    Filed: July 1, 2008
    Date of Patent: March 15, 2011
    Assignee: Inotera Memories, Inc.
    Inventors: Chun-Hsiang Chen, Yun-Sen Lai, Keng-Hsien Ho, Chien-Lung Tseng, Hung-Chih Kuo
  • Patent number: 7904407
    Abstract: Methods, computer-readable media, and systems for automatically performing Human Factors Process Failure Modes and Effects Analysis for a process are provided. At least one task involved in a process is identified, where the task includes at least one human activity. The human activity is described using at least one verb. A human error potentially resulting from the human activity is automatically identified, the human error is related to the verb used in describing the task. A likelihood of occurrence, detection, and correction of the human error is identified. The severity of the effect of the human error is identified. The likelihood of occurrence, and the severity of the risk of potential harm is identified. The risk of potential harm is compared with a risk threshold to identify the appropriateness of corrective measures.
    Type: Grant
    Filed: July 20, 2007
    Date of Patent: March 8, 2011
    Assignee: The Boeing Company
    Inventors: Faith T. Chandler, William D. Valentino, Monica F. Philippart, Kristine M. Relvini, Colette I. Bessette, Nathaneal P. Shedd
  • Patent number: 7904190
    Abstract: A method and system for assessing, auditing, designing, and evaluating process systems, including fluid pressure systems, to aid overpressure process plant design and/or the proper sizing and selection of overpressure equipment. The system includes a server subsystem, a storage subsystem, an assessment subsystem, and optionally a report generation subsystem, including computer hardware and application software for supporting these subsystems.
    Type: Grant
    Filed: July 7, 2009
    Date of Patent: March 8, 2011
    Assignee: Curtiss-Wright Flow Control Corporation
    Inventors: Josh Kolenc, H. James Hoover, Antony G. Olekshy, Paulette Meaux Saunders
  • Patent number: 7904276
    Abstract: A method for forecasting the component surpluses for a target planning period is provided. To begin this method, a planner first identifies each component required to produce a product. For each component, the planner defines a planned level and an uncancelable level. The planned level for a component is the quantity at which the component is expected to be available. The uncancelable level for a component is the quantity of the component that cannot be liquidated without charge. The planner also defines a vector of connect rates for the components. After the required data has been entered, an expected surplus is computed for each component. To compute a component's expected surplus, the component is assumed to be available at its uncancelable level. The remainder of the components are assumed to be available at their respective planned levels.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: March 8, 2011
    Assignee: Microsoft Corporation
    Inventors: Balazs Kralik, Michael Goldbach, Paul Dagum
  • Publication number: 20110054660
    Abstract: A machine comprising a first member (12), a second member (40) movable relative to the first member (12), a servo motor (36) for driving the second member (40), a logic controller (38) for controlling the servo motor (36), one or more inputs to the logic controller, the or each input being for transporting operational data, a storage device for storing the operational data, and an interface (50) to the storage device, the interface (50) being for providing access to the operational data. The system is for a form-fill-seal packaging machine comprising the logic controller (38).
    Type: Application
    Filed: April 10, 2008
    Publication date: March 3, 2011
    Inventors: Wolfgang Kiesler, Thomas Haebel
  • Patent number: 7899570
    Abstract: The present disclosure relates to automatic deposition profile targeting with a combined deposition/polishing apparatus which obtains matching deposition and subsequent polishing profiles by use of feedback data from an advanced polish endpoint system in an advanced process control system.
    Type: Grant
    Filed: February 27, 2008
    Date of Patent: March 1, 2011
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thomas Ortleb, Markus Nopper, Thomas Roessler
  • Patent number: 7899557
    Abstract: For example, by providing MMF software 10, 11 transferring data using a memory-mapped file respectively in a semiconductor manufacturing apparatus 1 and in an input signal analyzing system 8, data transfer load placed on control software 4 and analyzing software 9 is reduced. Additionally, in the MMF software 10, by inserting counter information in the memory-mapped file and by observing the information by the MMF software 11, communication abnormality is detected.
    Type: Grant
    Filed: March 1, 2005
    Date of Patent: March 1, 2011
    Assignee: ASM Japan K.K.
    Inventors: Masahiro Takizawa, Kazuyoshi Ishigaya, Kunio Ootani
  • Publication number: 20110046770
    Abstract: An apparatus for monitoring data generated by a device adapted for use in a pharmaceutical manufacturing environment are described and disclosed herein. The method creates a historic record of the pharmaceutical manufacturing process and provides it to an end user or a plurality of end users. Consequently, the methods provide a means to track the frequency of hazards associated with said device and thereby perform quality control on an integrated level whereby a pharmaceutical manufacturer can ensure data and product integrity and minimize cost.
    Type: Application
    Filed: August 20, 2010
    Publication date: February 24, 2011
    Inventor: Shane M. Popp
  • Publication number: 20110042006
    Abstract: The present disclosure describes a semiconductor manufacturing apparatus. The apparatus includes a processing chamber designed to perform a process to a wafer; an electrostatic chuck (E-chuck) configured in the processing chamber and designed to secure the wafer, wherein the E-chuck includes an electrode and a dielectric feature formed on the electrode; a tuning structure designed to hold the E-chuck to the processing chamber by clamping forces, wherein the tuning structure is operable to dynamically adjust the clamping forces; a sensor integrated with the E-chuck and sensitive to the clamping forces; and a process control module for controlling the tuning structure to adjust the clamping forces based on pre-measurement data from the wafer and sensor data from the sensor.
    Type: Application
    Filed: November 3, 2010
    Publication date: February 24, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Jo Fei Wang, Sunny Wu, Jong-I Mou
  • Patent number: 7890220
    Abstract: A tool includes a chamber, a network, a sensor, a tool controller, and a pressure controller. The network carries messages to and from devices on the network. A header portion of a message indicates a sender of the message and at least one intended recipient of the message. The sensor measures a pressure within the chamber. The sensor, tool controller, and pressure controller are on the network. The pressure controller controls the pressure within the chamber in response to measurements provided by the sensor and in response to a set point provided by the tool controller. The pressure controller processes header portions of all messages carried on the network to determine the intended recipients of each message and processes at least part of data portions of both messages intended for the pressure controller and at least some messages not intended for the pressure controller.
    Type: Grant
    Filed: May 3, 2005
    Date of Patent: February 15, 2011
    Assignee: MKS Instruments, Inc.
    Inventor: David Brian Chamberlain
  • Publication number: 20110035041
    Abstract: System and method for controlling the feed of a raw material disposed on a roll into a continuous or semi-continuous production process are provided. According to the disclosure, a user-defined amount of remnant material that is desirably left on the core of a roll of material when the roll is to be considered is depleted is provided as a process input, and a system according to the disclosure repeatedly evaluates and relates the stop length of material that passes through a process when a production line is stopped, the remnant material amount, and the amount of material currently present on a roll at various times during unwinding of the roll during process operation. Use of a system and/or method as provided enables operators of processes using rolled stock as a raw material to achieve heretofore un-attainably small amounts of scrap generation due to remnant material left on the roll's core.
    Type: Application
    Filed: August 6, 2009
    Publication date: February 10, 2011
    Inventor: Stephen J. Habakus
  • Publication number: 20110035043
    Abstract: The present disclosure provides a system for fabricating a semiconductor device. The system includes a semiconductor fabrication tool. The semiconductor fabrication tool has an integrated inter interface that measures a first process parameter of the fabrication tool. The system also includes a wireless sensor. The wireless sensor is detachably coupled to the fabrication tool. The wireless sensor measures a second process parameter of the fabrication tool. The second process parameter is different from the first process parameter.
    Type: Application
    Filed: November 10, 2009
    Publication date: February 10, 2011
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsu-Shui Liu, Jiun-Rong Pai, Yeh-Chieh Wang
  • Publication number: 20110024326
    Abstract: A carrier tray as described herein includes a container having pockets for holding electrical components such as integrated circuits during manufacturing, and a device coupled to the container for tracking usage of the carrier tray into and out of process chambers used for performing particular processes on the electrical components carried therein. Stations and methods of using the carrier tray are also described herein.
    Type: Application
    Filed: July 29, 2009
    Publication date: February 3, 2011
    Applicant: Macronix International Co., Ltd.
    Inventors: JUI-CHUNG LEE, CHUN-MING KO, LAN-BEN WANG
  • Patent number: 7881891
    Abstract: A system and method for optimizing and implementing a metrology sampling plan. A system is provided that includes a system for collecting historical metrology data from a metrology tool; and a reduction analysis system that compares an initial capability calculated from the historical metrology data with a recalculated capability for a reduced data set, wherein the reduced data set is obtained by removing a subset of data from the historical metrology data.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: February 1, 2011
    Assignee: International Business Machines Corporation
    Inventors: Javier A. Ayala, Marc J. Postiglione, Eric P. Solecky
  • Publication number: 20110022211
    Abstract: The present invention relates to a cooking control method performed by a cooking apparatus for a food item. The method comprises obtaining a unique identifier and cooking data for the food item, and checking for a record of the unique identifier in a memory of the cooking apparatus. If a record of the unique identifier is located in the memory, then the cooking apparatus will not cook the food item. Otherwise a cooking process based on the cooking data to cook the food item is performed. Accordingly, cooking data for a given instance of a food item can only be used once in order to activate a cooking process performed by the cooking apparatus. The present invention also features product recall as well as the ability to track food item sales via a cooking data communications system.
    Type: Application
    Filed: December 22, 2008
    Publication date: January 27, 2011
    Applicant: OVENFEAST LIMITED
    Inventors: Michael James McIntyre, Richard Anthony Devlin
  • Publication number: 20110022214
    Abstract: A method for monitoring operation behaviour of a component of an industrial plant is provided. Parameter identifiers of operation parameters of the industrial plant are read from an element of a control system of the plant. Some of these parameter identifiers are selected and the selected parameter identifiers are forwarded to an element of the control system. Further, a time of a specified operation of the component is defined and behaviour of the selected parameters of the component in the defined time is used as guide model for monitoring selected parameters of the component during component operation.
    Type: Application
    Filed: July 23, 2010
    Publication date: January 27, 2011
    Inventors: Bernhard Glomann, Holger Hackstein
  • Publication number: 20110022213
    Abstract: A detecting device for preventing a substrate from being scratched by an apparatus and a detecting method using the same is provided. The device comprises a detecting substrate, a controlling module, a transmitting module and a monitoring module. The controlling module detects a position information of a contact point between the apparatus and the detecting substrate and transmits the position information to the transmitting module. The transmitting module transmits the position information received from the controlling module to the monitoring module. The monitoring module determines the coordinates of the contact point between the apparatus and the detecting substrate according to the position information. The detecting substrate can be employed to simulate the substrate used in the real manufacture process of the TFT-LCD so that the apparatus in each step can be detected before the real manufacture process is performed.
    Type: Application
    Filed: July 21, 2010
    Publication date: January 27, 2011
    Applicant: BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventor: Yu Lu
  • Publication number: 20110022212
    Abstract: A method and system of specifying a device of root cause of impeding the productivity in consideration of even mutual influence among processes of production fluctuation with respect to the subject of specifying a device in which productive capacity is reduced due to a problem that the productive capacity of device is changed due to Production that one machine works for multiple process and a problem that the productive capacity of device is changed due to High product mix and low product volume production. A measure for changing productive capacity of devices intentionally and simulating influence to the whole production system, a measure for measuring mutual influence among processes of production fluctuation produced by the simulation and a measure for specifying a device of root cause of impeding the productivity on the basis of the measured result are provided.
    Type: Application
    Filed: December 16, 2008
    Publication date: January 27, 2011
    Inventors: Yoichi Nonaka, Lengyel Attila
  • Patent number: 7876216
    Abstract: A system verifies torquing of pressure fittings in an aircraft wheel well. A strain gauge and an ultra-wide band (UWB) pulse signal generator are placed on a wrench used to torque the fittings. The strain senses the torque applied by the wrench, and the pulse signal generator generates UWB pulse signals indicating the location of the fitting and the torque applied to the fitting. The pulse signals are received by UWB radios within the wheel well, which generate location measurements based on the received pulse signals. A processor automatically calculates the location of the fitting being torqued based on the location measurements, and a display produces a 3-dimensional image of the fittings and their torque status.
    Type: Grant
    Filed: June 25, 2008
    Date of Patent: January 25, 2011
    Assignee: The Boeing Company
    Inventors: Donald W. Coffland, Sujith N. Mally, Russell N. Tice
  • Patent number: 7869894
    Abstract: By directly using relative biases, contained in the relative bias date matrix, and by appropriately weighting the components thereof, sampling rate limitations in an APC control scheme may be efficiently compensated for. In particular embodiments, an age-based weighting factor is established that scales measurement data uncertainty according to the delay with which the corresponding measurement data for a specific control thread are obtained.
    Type: Grant
    Filed: May 26, 2006
    Date of Patent: January 11, 2011
    Assignee: Advanced Micro Devices, Inc.
    Inventors: James Broc Stirton, Andre Holfeld
  • Patent number: 7865333
    Abstract: A process for monitoring a machine, within the framework of a FMEA process for at least one component of the machine for at least one predetermined fault which can be diagnosed by means of a diagnosis diagram and a diagnosis system with sensors for detecting physical parameters of the machine, a diagnosis priority number being determined which is the product of the following index quantities: severity of the effect of occurrence of the fault with respect to the serviceability of the machine; expected machine-specific consequential costs when a fault occurs, and the possibility of correction of the fault. The diagnosis priority number is used in the evaluation of the diagnosis diagram, the diagnosis system, the current machine state, the necessary maintenance measures and/or the failure risk of the machine.
    Type: Grant
    Filed: January 28, 2009
    Date of Patent: January 4, 2011
    Assignee: Prueftechnik Dieter Busch AG
    Inventor: Edwin Becker
  • Publication number: 20100332010
    Abstract: A system for facilitating seasoning a plasma processing chamber. The system includes a computer-readable medium storing a chamber seasoning program (or CS program). The CS program includes code for receiving a first plurality of values and a second plurality of values of a set of parameters related to operation of the plasma processing chamber. The CS program includes code for ascertaining, using the first plurality of values and the second plurality of values, whether current values of the parameters have stabilized. The CS program also includes code for determining, using the second plurality of values but not the first plurality of values, whether the current values of parameters have stabilized within a predetermined range. The system may also include circuit hardware for performing one or more tasks associated with the CS program.
    Type: Application
    Filed: July 8, 2009
    Publication date: December 30, 2010
    Inventors: Brian Choi, Vijayakumar C. Venugopal
  • Patent number: 7856346
    Abstract: A test system for data processing circuit design emulates multiple bus masters and provides an arbitration mechanism for coordinating arbitration between those bus masters in the design emulation. The shared bus being tested may be a multi-layer bus and one or more of the bus masters being emulated or bus slaves being emulated may be cut-down emulations modelling the bus interaction itself or full emulations of the intended bus master circuit or bus slave circuit including its operational data processing.
    Type: Grant
    Filed: May 10, 2002
    Date of Patent: December 21, 2010
    Assignee: ARM Limited
    Inventors: Andrew Mark Nightingale, Timothy Charles Mace
  • Publication number: 20100318212
    Abstract: A method and device for facilitating measurement of thermo-optically induced material phase change response in a thin planar or a grating film stack is disclosed. The method may include using small-spot visible and ultraviolet spectra (ellipsometric or reflectance) for measuring a material phase change response. The device may include a measurement system platform, at least one electrical resistor, at least one external electric probe, and ohmic contact circuitry.
    Type: Application
    Filed: June 15, 2009
    Publication date: December 16, 2010
    Applicant: KLA-Tencor Technologies Corporation
    Inventors: Carlos L. Ygartua, Lei Zhong, John McCormack, Robert J. McClelland
  • Patent number: 7853347
    Abstract: A semiconductor manufacturing system includes a semiconductor manufacturing apparatus, a first controller for controlling the semiconductor manufacturing apparatus, an auxiliary machine for the semiconductor manufacturing apparatus, a second controller for controlling the auxiliary machine, and a third controller for electrically coupling the first and second controllers. The second controller outputs an auxiliary machine-in-operation signal to the third controller when the auxiliary machine is operating, outputs an auxiliary machine-stopping signal to the third controller when the auxiliary machine is stopping, and operates or stops the auxiliary machine according to an instruction signal received from the third controller.
    Type: Grant
    Filed: February 19, 2008
    Date of Patent: December 14, 2010
    Assignee: Seiko Epson Corporation
    Inventors: Tetsu Tomine, Atsushi Osada
  • Patent number: 7853346
    Abstract: In a method of scheduling of processes at distributed and interacting manufacturing sites, a potential availability of predictive pairings of materials and work machines processing the materials over a predetermined period of time is determined for a plurality of manufacturing sites. The potential availability data of the predictive pairings is recorded. The method senses what materials and work machines are available in real-time, and records the real-time availability data of sensed materials and work machines. The real-time availability data is compared with the potential availability data of predictive pairings. In case of a discrepancy, the method determines for each manufacturing site local pairings of materials and work machines processing the materials, and processes the local pairings.
    Type: Grant
    Filed: June 24, 2008
    Date of Patent: December 14, 2010
    Assignee: Siemens Aktiengesellschaft
    Inventor: Vito Massimo Ruggieri
  • Patent number: 7848828
    Abstract: Provided is a method for managing manufacturing apparatuses used in a managed production line including a plurality of manufacturing processes for manufacturing an electronic device, each of the apparatuses being used in one or more of the processes. The method includes acquiring a property of a reference device manufactured in a predetermined reference production line including the manufacturing processes to be performed, performing at least one of the manufacturing processes in the managed production line, performing the other manufacturing processes in the reference production line, and manufacturing a comparison device. The method further includes measuring a property of the comparison device, comparing the measured properties of the reference and the comparison devices, and judging whether a manufacturing apparatus used in the at least one manufacturing process in the managed production line is defective or not, based on a property difference between the reference and the comparison devices.
    Type: Grant
    Filed: March 25, 2008
    Date of Patent: December 7, 2010
    Assignees: National University Corporation Tohoku University, Advantest Corporation
    Inventors: Toshiyuki Okayasu, Shigetoshi Sugawa, Akinobu Teramoto
  • Patent number: 7848898
    Abstract: Methods for monitoring process drift using plasma characteristics are provided. In one embodiment, a method for monitoring process drift using plasma characteristics includes obtaining metrics of current and voltage information of a first waveform coupled to a plasma during a plasma process formed on a substrate, obtaining metrics of current and voltage information of a second waveform coupled to the plasma during the plasma process formed on the substrate, the first and second waveforms having different frequencies, determining at least one characteristic of the plasma using the metrics obtained from each different frequency waveform, and adjusting the plasma process in response to the determined at least one characteristic of the plasma.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: December 7, 2010
    Assignee: Applied Materials Inc.
    Inventors: Steven C. Shannon, Daniel J. Hoffman, Jeremiah T. P. Pender, Tarreg Mawari
  • Publication number: 20100305741
    Abstract: A thin film interference filter system includes a plurality of stacked films having a determined reflectance; a model monitor curve; and a topmost layer configured to exhibit a wavelength corresponding to one of the determined reflectance or the modeled monitor curve. The topmost layer is placed on the plurality of stacked films and can he a low-index film such as silica or a high index film such as niobia.
    Type: Application
    Filed: June 21, 2010
    Publication date: December 2, 2010
    Applicant: UNIVERSITY OF SOUTH CAROLINA
    Inventor: Michael L. Myrick
  • Publication number: 20100305739
    Abstract: The present disclosure relates to systems and processes for controlling the relative positions or phasing of advancing substrates and/or components in absorbent article converting lines. The systems and methods may utilize feedback from technologies, such as vision systems, sensors, remote input and output stations, and controllers with synchronized embedded clocks to accurately correlate component placement detections and placement control on an absorbent article converting process. The systems and methods may accurately apply the use of precision clock synchronization for both instrumentation and control system devices on a non-deterministic communications network. In turn, the clock synchronized control and instrumentation network may be used to control the substrate position. As such, the controller may be programmed to the relative positions of substrates and components along the converting line without having to account for undeterminable delays.
    Type: Application
    Filed: June 2, 2009
    Publication date: December 2, 2010
    Inventors: Jason L. DeBruler, Andrew P. Palmer, Louis J. Cedrone
  • Patent number: 7844357
    Abstract: A target value that serves as a control value with feed forward control is optimized. A TL performs a feed forward and a feedback control of a PM. A storage unit stores a plurality of recipes indicating different processing sequences, and a target value that serves as a control value when performing an etching process. A communication unit causes an IMM to measure a processing state of the wafer and receives measurement information. A computation unit computes a feedback value for the current wafer processed in the current cycle, based on pre-processing and post-processing measurement information for the wafer. An update unit updates the target value using the feedback value. A recipe adjustment unit changes the recipe to change the process performed in the same PM. When the process is performed after changing, the updated target value is used to perform feed forward control of the wafer in the same PM.
    Type: Grant
    Filed: October 18, 2007
    Date of Patent: November 30, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Shinji Sakano
  • Publication number: 20100298964
    Abstract: A laser-based displacement detector is used to detect cosmetic coatings applied to one surface of the transparent article and thereby determine which side is uppermost when loaded into the laser processing system. In particular, articles that are transparent to visible light and are particularly difficult to orient properly in laser processing systems are oriented using a laser-based displacement detector in conjunction with a partial coating on the article.
    Type: Application
    Filed: May 19, 2010
    Publication date: November 25, 2010
    Applicant: ELECTRO SCIENTIFIC INDUSTRIES, INC.
    Inventor: Mehmet Emin Alpay
  • Publication number: 20100298963
    Abstract: A description is given of a device (1) for tracking the movement of a tool of a handling unit (2), having at least one directional radiator (5) that can be aligned with the tool and be tracked, and a signal evaluation unit (4) for determining the movement. The directional radiators (5) have actuators for aligning the directional beam (6) at adjustable angles with reference to a fixed spatial plane, and angle sensors for determining the current directional beam angle with reference to the spatial plane, the angle sensors being connected to the signal evaluation unit (4). Directional beam sensors (7) for detecting the directional beam (6) are arranged with a fixed reference to the tool and movably therewith, the directional beam sensors (7) being connected to the signal evaluation unit (4).
    Type: Application
    Filed: February 8, 2007
    Publication date: November 25, 2010
    Inventors: Stefan Boehm, Mark Hellmanns, Klaus Dilger, Karl-Heinz Brakhage
  • Patent number: 7840305
    Abstract: The disclosure relates to abrasive articles useful in chemical-mechanical polishing (CMP), the articles including a substrate with opposite major surfaces, an abrasive material overlaying at least a portion of at least one of the major surfaces, and at least one of a radio frequency identification (RFID) tag, a RFID tag reader, or a sensor for providing CMP information to a transmitter positioned near the substrate, the transmitter positioned near the substrate and adapted to wirelessly receive CMP information and wirelessly transmit the CMP information to a remote receiver. The disclosure also relates to a CMP pad conditioner for wirelessly communicating CMP information to a remote receiver, a CMP process monitoring system for wirelessly communicating CMP information to a remote receiver, and a method for conditioning a CMP pad using a CMP process monitoring system for wireless communicating CMP information to a remote receiver.
    Type: Grant
    Filed: June 28, 2006
    Date of Patent: November 23, 2010
    Assignee: 3M Innovative Properties Company
    Inventors: Andrew H. Behr, Brian D. Goers, Vincent J. Laraia, Gary M. Palmgren, Daniel B. Pendergrass, Jr.
  • Patent number: 7840287
    Abstract: A robust method of creating process models for use in controller generation, such as in MPC controller generation, adds noise to the process data collected and used in the model generation process. In particular, a robust method of creating a parametric process model first collects process outputs based on known test input signals or sequences, adds random noise to the collected process data and then uses a standard or known technique to determine a process model from the collected process data. Unlike existing techniques for noise removal that focus on clean up of non-random noise prior to generating a process model, the addition of random, zero-mean noise to the process data enables, in many cases, the generation of an acceptable parametric process model in situations where no process model parameter convergence was otherwise obtained.
    Type: Grant
    Filed: April 13, 2006
    Date of Patent: November 23, 2010
    Assignee: Fisher-Rosemount Systems, Inc.
    Inventors: Wilhelm K. Wojsznis, Ashish Mehta, Dirk Thiele
  • Patent number: 7840297
    Abstract: Methods, apparatuses and systems that facilitate the design, production, control and/or measurement tasks associated with manufacturing and other processes. In one implementation, the present invention facilitates or enables the use of indirect process variables for use in manufacturing or other processes that yield articles or parts. For example, it enables determining a desired value profile for an indirect process variable based on the operating target, the lower operating limit and the upper operating limit for the predictor characteristic, and observations of the process. In one implementation, the present invention facilitates qualifying articles resulting from the process by comparing the desired versus observed value profiles for an indirect process variable. In another implementation, the present invention facilitates controlling a direct process variable based on the observed versus desired values of an indirect process variable.
    Type: Grant
    Filed: March 14, 2008
    Date of Patent: November 23, 2010
    Inventor: Steve W. Tuszynski
  • Patent number: 7840293
    Abstract: A production information reporting/recording system is disclosed herein including a number of features to ensure efficient, flexible and reliable recording of production data in a manufacturing system. Such features include validating the content of event messages to ensure compliance of event report information with a standard. The system also supports caching IDs associated with particular aspects of an event message (e.g., a production request, a process segment) as well as a unique ID corresponding to the ID assigned by the production event database to reduce the need to access the database when assigning unique database IDs to received events. The production event messaging system also supports both asynchronous and synchronous transactional messaging between the sources of events and the production database service.
    Type: Grant
    Filed: April 25, 2006
    Date of Patent: November 23, 2010
    Assignee: Invensys Systems, Inc.
    Inventors: Steven M. Weinrich, James C. Long, Eric P. Grove, Don R. Tunnell, George E. Bachman
  • Patent number: 7840296
    Abstract: An apparatus for monitoring performance of an industrial process includes a service portal for collecting, transmitting and analyzing parameter data from process field devices that includes a network connection that connects to a process control system of the industrial process, a remote collector that collects parameter data from process field devices, a processor that identifies, sorts, and stores the collected parameter data and a communications module for transmitting the stored parameter data to a remote monitoring station for analysis.
    Type: Grant
    Filed: January 18, 2008
    Date of Patent: November 23, 2010
    Assignee: Invensys Systems, Inc.
    Inventors: Fred Sanford, Robert Bather, Martin Culverhouse, Walter Sikora, Melanie Russell, Peter Martin, Robert Hasselbaum, Russell Barr
  • Publication number: 20100292825
    Abstract: A system (10) for controlling an industrial plant (12) comprises automatic control equipment (14) comprising a plurality of measurement sensors (16) for sensing predetermined variables associated with components of the industrial plant (12). The sensors (16) generate measured data relating to operation of the components of the industrial plant (12). A database (20) contains operational data, including observational data, regarding the industrial plant (12). A processor (18) is in communication with the automatic control equipment (14) and the database (20) for receiving the measured data from the sensors (16) of the automatic control equipment (14) and the operational data from the database (20). The processor (18) manipulates the measured and operational data to provide an evolving description of a process condition of each component over time, along with output information relating to operational control of the industrial plant (12) and for updating the database (20).
    Type: Application
    Filed: August 6, 2007
    Publication date: November 18, 2010
    Applicant: Auckland UniServices Limited
    Inventors: Mark P. Taylor, John J.J. Chen
  • Patent number: 7833487
    Abstract: A solution filling apparatus fills a capillary with solution of a substance to be introduced into a cell. A capillary holding unit holds the capillary. An injection-tube holding unit holds an injection tube to be injected into the capillary. A solution ejecting unit ejects the solution from the injection tube into the capillary. A guiding unit guides the injection tube into the capillary. A capillary oscillating unit oscillates the injection-tube holding unit and the solution ejecting unit.
    Type: Grant
    Filed: April 7, 2006
    Date of Patent: November 16, 2010
    Assignee: Fujitsu Limited
    Inventors: Jun Sasaki, Kazuo Tamamushi
  • Patent number: 7835887
    Abstract: What is disclosed is a novel system and method for determining real-time performance in a sub-assembly device that is driven by a dc motor. In one example embodiment, an electric motor is energized such that a sub-assembly driven by the motor achieves a target operating speed. Pulse width modulation data is captured and plotted along a time axis. A time duration when the sub-assembly device ended a startup operation and achieved a steady state operation is determined. A portion of the plotted data is then compared to an ideal plot defined for that sub-assembly device. Based on the result of that comparison, a determination is made whether the sub-assembly device is performing within accepted operational parameters. A maintenance operation is signaled to be performed in response to the determined sub-assembly operational performance. The data is stored in a historical database from which a preventative maintenance schedule can be derived.
    Type: Grant
    Filed: May 12, 2008
    Date of Patent: November 16, 2010
    Assignee: Xerox Corporation
    Inventors: Salvatore A. Abbata, Stephen O'Leyar, Kevin M. Carolan
  • Patent number: 7835814
    Abstract: Disclosed are embodiments that provide near real-time monitoring of a control application in a manufacturing environment to detect and determine the root cause of faults within the control application. The embodiments monitor the flow of data within the control application during events (i.e., transactions, stages, process steps, etc.). By comparing a dataflow path for a near real-time event with historical dataflow path records, dataflow interruptions (i.e., fails) within the control application can be detected. By determining the location of such a dataflow interruption, the root cause of the control application fail can be determined. Additionally, the invention can generate summary reports indicating the status of the control application. For example, the summary reports can quantify the performance and/or the effectiveness of the control application. These summary reports can further be generated with drill downs to provide a user with direct access to the records upon which the reports were based.
    Type: Grant
    Filed: August 16, 2007
    Date of Patent: November 16, 2010
    Assignee: International Business Machines Corporation
    Inventors: Michael W. Mock, Gary R. Moore, Justin W. Wong
  • Patent number: 7831325
    Abstract: User input regarding a target system on which a software application is to be deployed is received. A benchmark system from plural candidate benchmark systems is matched to the target system. An estimated performance of the software application on the target system or an estimated utilization of resources of the target system by the software application is computed based on information relating to the matched benchmark system.
    Type: Grant
    Filed: April 18, 2005
    Date of Patent: November 9, 2010
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Alex X. Zhang, Fereydoon F. Safai, Richard Stormo, Dirk M. Beyer, Yunhong Zhou, Jerry Z. Shan
  • Patent number: 7831411
    Abstract: An industrial control monitoring system provides visualization of historical data acquired from an industrial process in a manner that mimic real-time visualization of real-time data acquired from the industrial process. The monitoring system provides an operator interface that allows the operator to direct playback interactively, such as rewinding and forwarding of the playback.
    Type: Grant
    Filed: May 25, 2007
    Date of Patent: November 9, 2010
    Assignee: Rockwell Automation Technologies, Inc.
    Inventors: Douglas J. Reichard, Kenneth S. Plache
  • Patent number: 7831330
    Abstract: A process control system includes a client computer which prepares a correlation between a reference monitored value of apparatus information and a feature quantity, a manufacturing execution system which prepares a processing recipe describing, as a first setting value in an actual manufacturing process, a value of the control parameter, an apparatus information collection section which collects an objective monitored value of the apparatus information in operation of the actual manufacturing process with the first setting value, a feature quantity calculation section which calculates a value of a feature quantity corresponding to the objective monitored value based on the correlation, a parameter calculation section which calculates a second setting value in the actual manufacturing process on the basis of the value of the feature quantity, and an apparatus control unit which changes the processing recipe with the second setting value being as a setting value of the second step.
    Type: Grant
    Filed: July 10, 2009
    Date of Patent: November 9, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Junji Sugamoto, Yukihiro Ushiku, Kazutaka Akiyama, Shoichi Harakawa
  • Patent number: 7831326
    Abstract: Recipe steps of a manufacturing process run that generated a fault are displayed in a current view of a user interface, the recipe steps being displayed in association with a first axis. At least one of measured parameters or calculated parameters of the manufacturing process run are displayed in the current view, where at least one of the measured parameters and the calculated parameters are displayed in association with a second axis. A plurality of intersections of the recipe steps with at least one of the measured parameters or the calculated parameters are displayed in the current view, each of the plurality of intersections including a representation of a fault contribution attributable to at least one of a distinct measured parameter or a distinct calculated parameter at a distinct recipe step.
    Type: Grant
    Filed: November 15, 2007
    Date of Patent: November 9, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Y. Sean Lin, Alexander T. Schwarm