Event-driven Patents (Class 703/16)
  • Patent number: 8799846
    Abstract: Embodiments of the disclosure relate to methods for facilitating the design of a clock grid in an integrated circuit. The method includes propagating a chip level virtual grid across a multi-level hierarchy of the integrated circuit and customizing the grid at each macro to create a customized virtual grid for each macro. The method further includes propagating the customized virtual grid for each of the plurality of macros to one of a plurality of units and customizing the chip level virtual grid at each of the plurality of units to create the customized virtual grid for each of the plurality of units. The method also includes propagating the customized virtual grid for each of the plurality of units to the chip level and combining the plurality of customized virtual grids to form the clock grid for the integrated circuit.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: August 5, 2014
    Assignee: International Business Machines Corporation
    Inventors: Christopher J. Berry, Joseph N. Kozhaya, Daniel R. Menard, Susan R. Sanicky, Amanda C. Venton, Paul G. Villarrubia, Michael H. Wood
  • Patent number: 8798972
    Abstract: An apparatus for testing a subsystem of a plurality of subsystems capable of being assembled to form an integrated system may include an integration stand for testing the subsystem without actual physical assembly of the plurality of subsystems which form the integrated system. The integration stand may include an interface to connect the subsystem to the integration stand for testing. The integration stand may also include a network device for connecting the integration stand to a communications network for communications between the subsystem and any other subsystems of the plurality of subsystems available via the network. In this way, the subsystem and the other subsystems may be virtually assembled and integrated to virtually form the integrated system for interoperability of the subsystems and testing without actual physical assembly of the plurality of subsystems.
    Type: Grant
    Filed: June 26, 2008
    Date of Patent: August 5, 2014
    Assignee: The Boeing Company
    Inventors: Kent L. English, Bryan G. Dods, Lisa Marie Phelps
  • Patent number: 8799850
    Abstract: Some embodiments provide a system that performs a simulation within an electronic design automation (EDA) application. During operation, the system obtains a design from a user of the EDA application. Next, the system performs the simulation using the design to create a set of current simulation results associated with the design. The system then automatically saves a current design state of the design which is associated with the current simulation results. Finally, the system enables subsequent access to the current design state and one or more previous design states of the design by the user through a graphical user interface (GUI) associated with the EDA application.
    Type: Grant
    Filed: October 29, 2009
    Date of Patent: August 5, 2014
    Assignee: Synopsys, Inc.
    Inventors: Salem L. Ganzhorn, Kristin M. Beggs, Govindaswamy Chithamudali
  • Patent number: 8793634
    Abstract: In an LSI design method of designing a clock tree that supplies a clock signal to a plurality of leaves from a clock supply point, when a high level clock tree is constituted by H-tree and a low level clock tree is formed by CTS, the number of stages of a high level clock tree is optimized without giving any constraint on the placement of a low level clock tree. The leaves are divided into a plurality of groups to form a low level local tree. A clock-supplied region including all leaves to be supplied with a clock is uniformly divided and for each divided region, a skew when a clock signal is supplied from an end of an H-tree to start points of a plurality of local trees included in that region is estimated. The clock-supplied region is more finely equally-divided to increase the number of stages of H-tree.
    Type: Grant
    Filed: July 18, 2013
    Date of Patent: July 29, 2014
    Assignee: Renesas Electronics Corporation
    Inventors: Toshiaki Terayama, Ryoji Ishikawa
  • Patent number: 8788255
    Abstract: A delay analysis device composed of a storage device and a data processing device analyzes a chip fabricating a semiconductor integrated circuit. Delay calculation is performed via an RC simulation with reference to a layout-implemented macro net list, macro layout data, and a cell timing library, thus producing macro delay information. An initial stage of a macro is annotated by the global clock path delay information including the edge information so as to produce a global clock delay-annotated macro net list, which is then converted into a macro delay-annotated net list. Based on the macro delay-annotated net list and timing constraint, the delay analysis device calculates delay times of signal paths and clock paths as well as clock skews with a high precision. It checks whether or not the relationship between the delay times of signal paths and clock paths meets the timing constraint, thus producing delay analysis information.
    Type: Grant
    Filed: August 19, 2010
    Date of Patent: July 22, 2014
    Assignee: NEC Corporation
    Inventor: Koji Kanno
  • Patent number: 8776002
    Abstract: A variable Z0 impedance method (“Variable Z0”) for designing and/or optimizing antenna systems. The method provides that the value of an antenna's feed system characteristic impedance or apparatus internal impedance (Z0) changes as a true variable quantity during the antenna system design or optimization methodology. The value is allowed to be determined by the methodology, because different values of Z0 result in different antenna system performance. It is applied to any set of performance objectives on any antenna system wherein apparatus internal or transmission line characteristic impedance is an explicit or implicit parameter. Variable Z0 is applied to any design or optimization methodology. Structures include Yagi-Uda arrays, Meander Monopoles, and transmission line Multi-Stub Matching Networks, and can incorporate Central Force Optimization or Biogeography Based Optimization or other optimization algorithms.
    Type: Grant
    Filed: September 4, 2012
    Date of Patent: July 8, 2014
    Assignee: Variable Z0, Ltd.
    Inventor: Richard A. Formato
  • Patent number: 8775149
    Abstract: A method and mechanism for implementing a general purpose scripting language that supports parallel execution is described. In one approach, parallel execution is provided in a seamless and high-level approach rather than requiring or expecting a user to have low-level programming expertise with parallel processing languages/functions. Also described is a system and method for performing circuit simulation. The present approach provides methods and systems that create reusable and independent measurements for use with circuit simulators. Also disclosed are parallelizable measurements having looping constructs that can be run without interference between parallel iterations. Reusability is enhanced by having parameterized measurements. Revisions and history of the operating parameters of circuit designs subject to simulation are tracked.
    Type: Grant
    Filed: June 15, 2012
    Date of Patent: July 8, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventor: Kenneth S. Kundert
  • Patent number: 8775147
    Abstract: An algorithm and architecture are disclosed for performing multi-argument associative operations. The algorithm and architecture can be used to schedule operations on multiple facilities for computations or can be used in the development of a model in a modeling environment. The algorithm and architecture resulting from the algorithm use the latency of the components that are used to process the associative operations. The algorithm minimizes the number of components necessary to produce an output of multi-argument associative operations and also can minimize the number of inputs each component receives.
    Type: Grant
    Filed: May 31, 2006
    Date of Patent: July 8, 2014
    Assignee: The MathWorks, Inc.
    Inventors: Alireza Pakyari, Brian K. Ogilvie
  • Patent number: 8768679
    Abstract: A computer-implemented method that simulates NPskew effects on a combination NFET (Negative Field Effect Transistor)/PFET (Positive Field Effect Transistor) semiconductor device using slew perturbations includes performing a timing test by a computing device, by: (1) evaluating perturb slews in Strong N/Weak P directions on the combination semiconductor device for a timing test result; (2) evaluation perturb slews in Weak N/Strong P directions on the combination semiconductor device for a timing test result; and (3) evaluating unperturbed slews in a balanced condition on the combination semiconductor device for a timing test result. After each test is performed, a determination is made as to which evaluation of the perturbed and unperturbed slews produces a most conservative timing test result for the combination semiconductor device. An NPskew effect adjusted timing test result is finally output based on determining the most conservative timing test result.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: July 1, 2014
    Assignee: International Business Machines Corporation
    Inventors: Nathan C. Buck, Brian M. Dreibelbis, John P. Dubuque, Eric A. Foreman, Peter A. Habitz, Jeffrey G. Hemmett, Natesan Venkateswaran, Chandramouli Visweswariah, Xiaoyue X. Wang
  • Patent number: 8762123
    Abstract: A system and method for performing circuit simulation is described. The present approach provides methods and systems that create reusable and independent measurements for use with circuit simulators. Also disclosed are parallelizable measurements having looping constructs that can be run without interference between parallel iterations. Reusability is enhanced by having parameterized measurements. Revisions and history of the operating parameters of circuit designs subject to simulation are tracked. Mechanisms are provided that allow for viewing, measurement or other manipulation of signals at specific locations in a circuit design for simulation, such as parameters that include observation points which are implemented using probes. One approach to executing a measurement is via a controllable and flexible control statement, which in one embodiment is the “run” statement. Improved interfaces for viewing, controlling, and manipulating simulations and simulation results are also provided.
    Type: Grant
    Filed: October 28, 2010
    Date of Patent: June 24, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventor: Kenneth S. Kundert
  • Patent number: 8762113
    Abstract: A method includes capturing data that is representative of actions performed by each of a plurality of human user operated clients as they interact with an online software application, loading at least one or more portions of the captured data into one or more automated simulation clients, and using the one or more automated simulation clients to perform load testing of an online server system. A system includes a data capturing stage, one or more automated simulation clients, and a configuration stage.
    Type: Grant
    Filed: June 3, 2011
    Date of Patent: June 24, 2014
    Assignee: Sony Computer Entertainment America LLC
    Inventors: Sreelata Santhosh, Mark Vaden, Brian Fernandes
  • Patent number: 8756544
    Abstract: A method for inserting characteristic extractor is provided. The method includes parsing a transaction level model (TLM) of an electronic device of a target system to find out at least one target point of an operation status of the electronic device; and inserting at least one characteristic extractor into the at least one target point.
    Type: Grant
    Filed: March 26, 2013
    Date of Patent: June 17, 2014
    Assignee: Industrial Technology Research Institute
    Inventors: Yi-Siou Chen, Tung-Hua Yeh, Jen-Chieh Yeh, Wen-Tsan Hsieh
  • Patent number: 8756548
    Abstract: A method for operating a computing system includes: receiving an application-tree for instantiating an application in a reconfigurable hardware device; operating a kernel unit for determining an unoccupied logic-sector within a reconfigurable hardware device; calculating a layout section from the application-tree according to the unoccupied logic-sector for instantiating a fragment circuitry corresponding to the layout section; and determining a system table for connecting the fragment circuitry to other portions of the application to form the application having the fragment circuitry.
    Type: Grant
    Filed: May 4, 2012
    Date of Patent: June 17, 2014
    Assignee: Xcelemor, Inc.
    Inventor: Peter J Zievers
  • Patent number: 8751994
    Abstract: Systems and methods are disclosed for testing dies in a stack of dies and inserting a repair circuit which, when enabled, compensates for a delay defect in the die stack. Intra-die and inter-die slack values are determined to establish which die or dies in the die stack would benefit from the insertion of a repair circuit.
    Type: Grant
    Filed: September 9, 2013
    Date of Patent: June 10, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Sandeep Kumar Goel
  • Publication number: 20140156249
    Abstract: Systems and methods for modeling a bus for a system design are provided. In an embodiment, the method operates by accepting a virtual bus model, wherein the model simulates behavior for a bus master and slave device, such that the model accurately simulates the timing and behavior of the transfer of data from master to slave, and, from slave to master devices. The method routes a transaction issued by the master device to the slave device. The transaction has storage for transaction data, or a pointer to transaction data, to be transferred through the transaction. The transaction data is transferred in one or more data payloads and the sender of data sets the length of data payloads to be returned. The data payloads are sent from the sender of data to the receiver of data and may contain one or more bus data beats. This method accurately models the bus timing and behavior of the delivery of one or more data beats as one data payload.
    Type: Application
    Filed: February 3, 2014
    Publication date: June 5, 2014
    Applicant: Synopsys, Inc.
    Inventors: Neville A. Clark, James R. Torossian
  • Patent number: 8745561
    Abstract: A system and method are provided for common path pessimism removal or reduction (CPPR) in a timing database provided to guide transformative physical optimization/correction of a circuit design for an IC product to remedy operational timing violations detected in the circuit design. Pessimism is reduced through generation of a common path pessimism removal (CPPR) tree structure of branching nodes, and operational timing characteristics of each node. The CPPR tree structure is used to avoid exponential phases propagating in an exploratory manner through the system design, as well as the resultant memory footprint thereof. Additionally, back-tracing node-by-node through the circuit design for each and every launch and capture flip flop pair end point through each possible path thereof is avoided.
    Type: Grant
    Filed: August 1, 2013
    Date of Patent: June 3, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Vibhor Garg, Krishna Belkhale, Pawan Kulshreshtha, Hakan Yalcin
  • Patent number: 8739101
    Abstract: A method of configuring a hardware design for a pipelined parallel stream processor includes obtaining a scheduled graph representing a processing operation in the time domain as a function of clock cycles. The graph includes a data path to be implemented in hardware as part of the stream processor, an input, an output, and parallel branches to enable data values to be streamed therethrough from the input to the output as a function of increasing clock cycle. The data path is partitioned into a plurality of discrete regions, each region operating on a different clock phase and having discrete control logic elements. Phase transition registers to align data separated by a boundary between regions having different clock phases are introduced into the data path at the boundary. The graph and control logic elements define a hardware design for the pipelined parallel stream processor.
    Type: Grant
    Filed: November 21, 2012
    Date of Patent: May 27, 2014
    Assignee: Maxeler Technologies Ltd.
    Inventor: Robert Gwilym Dimond
  • Patent number: 8738350
    Abstract: A method of simulating a design described in HDL is provided. In this method, modules of the design can be partitioned into first modules for simulation by a serial simulation engine and second modules for simulation by a concurrent simulation engine. The first and second modules can be prioritized for simulation based on classes of events consistent with an execution model of the HDL. Simulations of the serial and concurrent simulation engines can be synchronized for each class of events. Synchronizing can include transferring updated interface variable values, which are shared by the second modules and at least a subset of the first modules, between the serial simulation engine and the concurrent simulation engine. This transferring can include translating representations of the updated interface variable values.
    Type: Grant
    Filed: February 18, 2011
    Date of Patent: May 27, 2014
    Assignee: Synopsys, Inc.
    Inventors: Keith Whisnant, Claudio Basile, Giacinto Paolo Saggese
  • Patent number: 8732634
    Abstract: A method for designing a system on a target device is disclosed. A first netlist is generated or a first version of the system in a first compilation. Optimizations are performed on the first version of the system during synthesis resulting in a second netlist. A third netlist is generated or a second version of the system in a second compilation. The first version of the system in the first netlist and the second version of the system in the third netlist are differentiated to identify identical regions.
    Type: Grant
    Filed: June 3, 2013
    Date of Patent: May 20, 2014
    Assignee: Altera Corporation
    Inventors: Doris Tzu Lang Chen, Deshanand Singh
  • Patent number: 8725483
    Abstract: A mechanism is provided for determining connectivity while minimizing wiring in an electronic system. The mechanism identifies a configuration of the electronic system, a location of each module in a plurality of modules within the electronic system and at least one constraint with regard to wiring the electronic system, the location of each module being identified using three-dimensional coordinates. The mechanism routes a separate cable from each module in the plurality of modules to each of the other modules in the plurality of modules without violating any constraints, thereby forming a plurality of cables. The mechanism then generates a cabling list indicating how each cable in the plurality of cables is to be routed in the electronic system in order to not violate any constraints and provide connectivity while minimizing wiring.
    Type: Grant
    Filed: January 19, 2011
    Date of Patent: May 13, 2014
    Assignee: International Business Machines Corporation
    Inventors: Wael R. Ei-Essawy, David A. Papa, Jarrod A. Roy
  • Patent number: 8718999
    Abstract: The present invention provides a circuit simulation method of executing a high-precision circuit simulation. A voltage fluctuation analysis step at a gate level is executed (step S2). The voltage fluctuation analysis step at the gate level is executed on an entire chip TP. Next, a step of obtaining waveforms of power supply voltage and ground voltage (Vss) according to the voltage fluctuation analysis step is executed (step S4). Subsequently, a signal analysis step at a transistor level is performed (step S6). The signal analysis step at the transistor level is performed in an area narrower than the entire chip TP, for example, on one or more functional modules. After that, a step of obtaining a signal analysis result according to the signal analysis step is executed (step S8).
    Type: Grant
    Filed: May 26, 2011
    Date of Patent: May 6, 2014
    Assignee: Renesas Electronics Corporation
    Inventor: Genichi Tanaka
  • Patent number: 8706467
    Abstract: Embodiments of a computer system for simulating a circuit are described. During a first mode of the simulation, the computer system stores primary signals and circuit relationships between primary signals and secondary signals associated with a portion of the circuit in a file, where the primary signals are independent of gate outputs in the portion of the circuit, and the secondary signals are driven by gates in the portion of the circuit. Moreover, during a second mode of the simulation, the computer system stores dynamic changes in additional relationships between signals to the file, where the signals can include primary signals, secondary signals, or both.
    Type: Grant
    Filed: April 2, 2008
    Date of Patent: April 22, 2014
    Assignee: Synopsys, Inc.
    Inventors: Alexander Rabinovitch, Manish Shroff
  • Patent number: 8707232
    Abstract: Aspects of the invention relate to techniques for fault diagnosis based on circuit design partitioning. According to various implementations of the invention, a circuit design of a failing die is first partitioned into a plurality of sub-circuits. The sub-circuits may be formed based on fan-in cones of observation points. Shared gate ratios may be used as a metric for adding fan-in cones of observation points into a sub-circuit. Based on test patterns and the sub-circuits, sub-circuit test patterns are determined. Fault diagnosis is then performed on the sub-circuits. The sub-circuit fault diagnosis comprises extracting sub-circuit failure information from the failure information for the failing die. The sub-circuit fault diagnosis may employ fault-free values for boundary gates in the sub-circuits.
    Type: Grant
    Filed: June 8, 2012
    Date of Patent: April 22, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Huaxing Tang, Wu-Tung J. Cheng, Robert Brady Benware, Xiaoxin Fan
  • Patent number: 8688428
    Abstract: A performance evaluation device includes: a control timing model unit for outputting a timing for inputting a control signal input/output between plural function blocks contained in a simulation model corresponding to a hardware; a control signal transfer period calculation unit for calculating a transfer period of the control signal between the plural function blocks in accordance with the timing for inputting the control signal; a data timing model unit for outputting a timing for inputting a data signal corresponding to the control signal, which is input/output between the plural function blocks; and a data signal transfer period calculation unit for calculating a transfer period of the data signal between the plural function blocks in accordance with the timing for inputting the data signal.
    Type: Grant
    Filed: December 11, 2009
    Date of Patent: April 1, 2014
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Masumi Hotta
  • Patent number: 8689160
    Abstract: A computer-implemented method for interconnect redundancy of a circuit design comprises the steps of setting Manhattan distance being less than or equal to three pitches; placing a plurality of dummy micro bumps on at least one side of a die including a signal bump formed on the at least one side; determining an interconnecting candidate by selecting from the dummy micro bumps, which is distant from the signal bump by the Manhattan distance; and providing a routing path between the at least one interconnecting candidate and the signal bump.
    Type: Grant
    Filed: June 17, 2013
    Date of Patent: April 1, 2014
    Assignee: Industrial Technology Research Institute
    Inventors: Chang Tzu Lin, Ding Ming Kwai
  • Patent number: 8683408
    Abstract: Techniques and systems for optimizing a circuit design are described. In some embodiments, a sequential cell is selected for optimization. Next, the system iterates through a set of candidate sequential cells that are functionally equivalent to the sequential cell that is being optimized. The system evaluates the global timing impact of each candidate sequential cell in a highly efficient manner. For each candidate sequential cell that is evaluated, a non-timing metric and a timing metric for a candidate sequential cell are compared with the corresponding non-timing metric and timing metric for the current best sequential cell. If a candidate sequential cell improves the timing metric, or maintains the timing metric and has better non-timing metric(s), then the candidate sequential cell is stored as the current best sequential cell. Once the process completes, the current best sequential cell is the optimized cell size for the sequential cell.
    Type: Grant
    Filed: October 31, 2012
    Date of Patent: March 25, 2014
    Assignee: Synopsys, Inc.
    Inventors: Mahesh A. Iyer, Amir H. Mottaez
  • Patent number: 8670866
    Abstract: An autonomous floor cleaning robot includes a transport drive and control system arranged for autonomous movement of the robot over a floor for performing cleaning operations. The robot chassis carries a first cleaning zone comprising cleaning elements arranged to suction loose particulates up from the cleaning surface and a second cleaning zone comprising cleaning elements arraigned to apply a cleaning fluid onto the surface and to thereafter collect the cleaning fluid up from the surface after it has been used to clean the surface. The robot chassis carries a supply of cleaning fluid and a waste container for storing waste materials collected up from the cleaning surface.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: March 11, 2014
    Assignee: iRobot Corporation
    Inventors: Andrew Ziegler, Duane Gilbert, Christopher John Morse, Scott Pratt, Paul Sandin, Nancy Dussault, Andrew Jones
  • Patent number: 8645116
    Abstract: A hybrid simulation model includes a real model, a bus interface and an acceleration model. The real model simulates a group of instructions. The acceleration model includes a trace generation unit, a trace replay unit, a selection unit, a snapshot generation and load unit and a virtual breakpoint control unit. The trace generation unit records at least one trace file of the real model in a first simulation. The trace replay unit reads and accordingly accesses the at least one trace file. The selection unit dynamically switches to perform a real simulation or a trace simulation. The snapshot generation and load unit generates at least one status snapshot file and loads the at least one status snapshot file to the real model in repeated simulations. The virtual breakpoint control unit controls the selection unit to switch between the trace simulation and the real simulation according to a virtual breakpoint.
    Type: Grant
    Filed: May 13, 2011
    Date of Patent: February 4, 2014
    Assignee: Industrial Technology Research Institute
    Inventors: Chi-Hung Lin, Che-Yu Liao, Ching-Hsiang Chuang, Shing-Wu Tung
  • Patent number: 8635570
    Abstract: Methods and apparatus are provided for allowing components such as buffers, multiplexers, ingress cores, etc. on a device such as a programmable chip to configure themselves based on parameter information. In some examples, self-configuring components obtain parameter information from adjacent components. In other examples, self-configuring components obtain parameter information from a system environment or a processor register. Component self-configuration can occur at a variety of times including preprocessing, simulation, and run-time.
    Type: Grant
    Filed: August 27, 2012
    Date of Patent: January 21, 2014
    Assignee: Altera Corporation
    Inventors: Kent Orthner, Desmond Ambrose, Geoff Barnes
  • Patent number: 8635567
    Abstract: A method of circuit design includes receiving a user input selecting a first interface of a circuit block of a circuit design as a source interface in creating a connection within the circuit design and selecting a second interface of the circuit design as a candidate destination interface for the connection using a processor. The method further includes determining compatibility between the second interface and the first interface and indicating compatibility of the second interface with the first interface for the connection.
    Type: Grant
    Filed: October 11, 2012
    Date of Patent: January 21, 2014
    Assignee: Xilinx, Inc.
    Inventors: Shay P. Seng, Krishnan Subramanian, Robert E. Shortt
  • Patent number: 8631378
    Abstract: A method, system and computer program product for implementing enhanced clock tree distributions to decouple across N-level hierarchical entities of an integrated circuit chip. Local clock tree distributions are constructed. Top clock tree distributions are constructed. Then constructing and routing a top clock tree is provided. The local clock tree distributions and the top clock tree distributions are independently constructed, each using an equivalent local clock distribution of high performance buffers to balance the clock block regions.
    Type: Grant
    Filed: November 1, 2012
    Date of Patent: January 14, 2014
    Assignee: International Business Machines Corporation
    Inventors: Mark R. Lasher, Daniel R. Menard, Philip P. Normand
  • Patent number: 8621410
    Abstract: An innovative realization of computer hardware, software and firmware comprising a multiprocessor system wherein at least one processor can be configured to have a fixed instruction set and one or more processors can be statically or dynamically configured to implement a plurality of processor states in a plurality of technologies. The processor states may be instructions sets for the processors. The technologies may include programmable logic arrays.
    Type: Grant
    Filed: August 20, 2010
    Date of Patent: December 31, 2013
    Assignee: FTL Systems, Inc.
    Inventor: John C. Willis
  • Patent number: 8615726
    Abstract: A cell library is automatically designed. An emphasis of a design methodology is on automatic determination of the desired or needed cell sizes and variants. This method exploits different variants on drive strengths, P/N ratios, topology variants, internal buffering, and so forth. The method allows generating libraries that are more suitable for efficient timing closure.
    Type: Grant
    Filed: September 6, 2011
    Date of Patent: December 24, 2013
    Assignee: Nangate Inc.
    Inventors: Andre Inacio Reis, Ole Christian Andersen
  • Patent number: 8612198
    Abstract: Software for controlling processes in a heterogeneous semiconductor manufacturing environment may include a wafer-centric database, a real-time scheduler using a neural network, and a graphical user interface displaying simulated operation of the system. These features may be employed alone or in combination to offer improved usability and computational efficiency for real time control and monitoring of a semiconductor manufacturing process. More generally, these techniques may be usefully employed in a variety of real time control systems, particularly systems requiring complex scheduling decisions or heterogeneous systems constructed of hardware from numerous independent vendors.
    Type: Grant
    Filed: October 23, 2007
    Date of Patent: December 17, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Patrick D. Pannese, Vinaya Kavathekar, Peter van der Meulen
  • Patent number: 8607181
    Abstract: A system and method are provided for automatically converting a hardware abstraction language representation of a single-channel hardware module into a hardware abstraction language representation of a multi-channel module. Initially, a hardware abstraction language representation of a single channel hardware module is provided having an input port, output port, and a register. The method defines a number of channels and establishes a context switching memory. Commands are created for intercepting register communications. Commands are also created for storing the intercepted communications in a context switching memory, cross-referenced to channel. The module is operated using the created commands and stored communications from the context switching memory.
    Type: Grant
    Filed: February 7, 2012
    Date of Patent: December 10, 2013
    Assignee: Applied Micro Circuits Corporation
    Inventor: Dimitrios Mavroidis
  • Patent number: 8589830
    Abstract: Provided is an integrated circuit (IC) design method. The method includes receiving an IC design layout having a feature with an outer boundary, performing a dissection on the feature to divide the outer boundary into a plurality of segments, and performing, using the segments, an optical proximity correction (OPC) on the feature to generate a modified outer boundary. The method also includes simulating a photolithography exposure of the feature with the modified outer boundary to create a contour and performing an OPC evaluation to determine if the contour is within a threshold. Additionally, the method includes repeating the performing a dissection, the performing an optical proximity correction, and the simulating if the contour does not meet the threshold, wherein each repeated dissection and each repeated optical proximity correction is performed on the modified outer boundary generated by the previously performed optical proximity correction.
    Type: Grant
    Filed: March 7, 2012
    Date of Patent: November 19, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Cheng Chang, Chin-Min Huang, Wei-Kuan Yu, Cherng-Shyan Tsay, Lai Chien Wen, Hua-Tai Lin
  • Patent number: 8578318
    Abstract: In one embodiment, a method for implementing a circuit design for an integrated circuit includes: (a) obtaining a first wiring to satisfy a given operating frequency; (b) calculating a maximum bypass wiring length based on the given operating frequency and a critical path of the first wiring; (c) obtaining a second wiring by bypassing the first wiring using wires other than wires of the first wiring in a first wiring group, wherein wiring of the integrated circuit is categorized into a plurality of wiring groups, and the first wiring is included in the first wiring group of the categorized wiring groups; and (d) replacing the first wiring with the second wiring, if a difference between the second wiring and the first wiring is not larger than the maximum bypass wiring length, and not replacing the first wiring if said difference is larger than the maximum bypass wiring length.
    Type: Grant
    Filed: July 30, 2012
    Date of Patent: November 5, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kumiko Nomura, Shinichi Yasuda, Shinobu Fujita, Keiko Abe, Tetsufumi Tanamoto, Kazutaka Ikegami, Masato Oda
  • Patent number: 8571847
    Abstract: A processor-implemented method for improving efficiency of a static core turn-off in a multi-core processor with variation, the method comprising: conducting via a simulation a turn-off analysis of the multi-core processor at the multi-core processor's design stage, wherein the turn-off analysis of the multi-core processor at the multi-core processor's design stage includes a first output corresponding to a first multi-core processor core to turn off; conducting a turn-off analysis of the multi-core processor at the multi-core processor's testing stage, wherein the turn-off analysis of the multi-core processor at the multi-core processor's testing stage includes a second output corresponding to a second multi-core processor core to turn off; comparing the first output and the second output to determine if the first output is referring to the same core to turn off as the second output; outputting a third output corresponding to the first multi-core processor core if the first output and the second output are b
    Type: Grant
    Filed: March 19, 2010
    Date of Patent: October 29, 2013
  • Patent number: 8572523
    Abstract: A method for performing leakage analysis includes receiving information specifying an integrated circuit. A neighborhood of shapes associated with the integrated circuit is then determined. Leakage information associated with the integrated circuit is generated based on the neighborhood of shapes. The neighborhood of shapes may be determined by determining a first set of spacings to a boundary of a first cell from an internal shape. A second set of spacings may be determined from the boundary of the first cell to a shape of a second cell. A lithography process may be characterized using the first and second set of spacings.
    Type: Grant
    Filed: July 20, 2007
    Date of Patent: October 29, 2013
    Assignee: Synopsys, Inc.
    Inventors: Emre Tuncer, Hui Zheng, Vivek Raghavan, Anirudh Devgan, Amir Ajami, Alessandra Nardi, Tao Lin, Pramod Thazhathethil, Alfred Wong
  • Patent number: 8566497
    Abstract: A system for enhancing universal serial bus (USB) applications comprises an upstream processor, a downstream processor and a main controller. The upstream processor accepts standard USB signals from a USB host and independently provides responses required by USB specification within the required time frame. The upstream processor also contains storage for descriptors for a device associated with this upstream processor. The main controller obtains the descriptors by commanding the downstream processor, and passes them to the upstream processor. The downstream processor connectable to USB-compliant devices accepts the USB signals from the USB-compliant devices and provides responses required by USB specification within the required time frame. The main controller interconnects the upstream and downstream processors, and provides timing independence between upstream and downstream timing. The main controller also commands the downstream processor to obtain device descriptors independent of the USB host.
    Type: Grant
    Filed: September 12, 2011
    Date of Patent: October 22, 2013
    Assignee: Vetra Systems Corporation
    Inventor: Jonas Ulenas
  • Patent number: 8560295
    Abstract: In one embodiment, a method to simulate an HDL specification is provided. For each call to a procedure, an intermediate process is dynamically created during simulation. The process containing the call to the procedure is replaced with the intermediate process in an active process list of processes scheduled for execution. The intermediate process is configured to call the procedure and, in response to completing execution of the procedure, cause the simulator to add the calling process to the front of the active process list and remove the intermediate process from the active process list.
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: October 15, 2013
    Assignee: Xilinx, Inc.
    Inventors: Sonal Santan, Pratima Gupta
  • Patent number: 8560294
    Abstract: A method for automating input/output buffer information specification (IBIS) model generation. A wrapper utility combines components into an automated generation flow to model multiple input/output (I/O) buffers that conform to single-ended and differential I/O standards. Configuration data files are imported to properly configure the modeled I/O buffers according to a specific set of signal parameters across all process corners. Output and input termination impedance may also be modeled within the I/O buffer. A simulation setup file of the modeled I/O buffer is generated to determine the voltage/current (V/I) and voltage/time (V/T) data for the modeled I/O buffer for each process corner. A raw IBIS model is then created, formatted, and validated to determine the accuracy of the IBIS model. Execution steps of the IBIS model generator are then iterated to automatically generate, correlate, and compile IBIS models for each I/O standard into a single file.
    Type: Grant
    Filed: February 20, 2008
    Date of Patent: October 15, 2013
    Assignee: Xilinx, Inc.
    Inventors: GuoJun Ren, Prasad Rau
  • Patent number: 8560991
    Abstract: Embodiments provide systems, devices, methods, and machine-readable medium for automated debugging of a design under test in a verification environment as part of electronic design automation. Embodiments may automatically identify inputs that are relevant to a bug for a device under test. A failing test run may be taken and rerun several times with small changes in the inputs. If the test is passing, the mutated inputs may be important to reproduce the bug and may be marked as “suspicious”. The result of this process may be a list of suspicious inputs and a shorter and simpler test that still fails. The shorter test may be rerun and fields of the inputs recorded. New tests may be created with mutated fields. Mutated fields that result in passing tests may be considered suspicious fields. Suspicious inputs and fields may be presented to a user as part of an electronic design process.
    Type: Grant
    Filed: October 5, 2010
    Date of Patent: October 15, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventor: Shai Fuss
  • Patent number: 8549461
    Abstract: A logically hierarchical netlist may be split along physical partition boundaries while retaining information on the logical hierarchy. Nets can be driven to higher levels of hierarchy in order to maintain connectivity and enable the original logical function. A mapping of nets can be created. During the design process merging of physical partitions may result in a new logically hierarchical netlist which retains the hierarchy of the original logically hierarchical netlist. The lowest common hierarchical ancestor (LCA) is identified and then the appropriate cells and nets are included during the merging process.
    Type: Grant
    Filed: December 8, 2011
    Date of Patent: October 1, 2013
    Assignee: Synopsys, Inc.
    Inventors: Eduard Petrus Huijbregts, Avijit Dey
  • Patent number: 8543950
    Abstract: A method and system to automate scan synthesis at register-transfer level (RTL). The method and system will produce scan HDL code modeled at RTL for an integrated circuit modeled at RTL. The method and system comprise computer-implemented steps of performing RTL testability analysis, clock-domain minimization, scan selection, test point selection, scan repair and test point insertion, scan replacement and scan stitching, scan extraction, interactive scan debug, interactive scan repair, and flush/random test bench generation. In addition, the present invention further comprises a method and system for hierarchical scan synthesis by performing scan synthesis module-by-module and then stitching these scanned modules together at top-level. The present invention further comprises integrating and verifying the scan HDL code with other design-for-test (DFT) HDL code, including boundary-scan and logic BIST (built-in self-test).
    Type: Grant
    Filed: June 7, 2012
    Date of Patent: September 24, 2013
    Assignee: Syntest Technologies, Inc.
    Inventors: Laung-Terng (L.-T.) Wang, Augusli Kifli, Fei-Sheng Hsu, Shih-Chia Kao, Xiaoqing Wen, Shyh-Horng Lin, Hsin-Po Wang
  • Patent number: 8543953
    Abstract: A method is contemplated in which the stimulus to an IC design simulation may be automatically manipulated or steered so that the test environment is altered during subsequent simulations of the IC design based upon the simulation results and/or configuration settings of previous simulations of the IC design. More particularly, a stimulation steering tool may analyze the simulation results and/or the test environment, and manipulate the test environment, which may include the test generator output, and the test bench model, for subsequent simulations.
    Type: Grant
    Filed: January 4, 2012
    Date of Patent: September 24, 2013
    Assignee: Apple Inc.
    Inventor: Fritz A. Boehm
  • Patent number: 8539426
    Abstract: A method of forming a compact model for an electrical device includes obtaining shape information for the device and obtaining nominal information for the device. The method also includes merging the shape information and the nominal information to form composite data, and fitting the compact model to the composite data.
    Type: Grant
    Filed: February 22, 2011
    Date of Patent: September 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: Paul A. Hyde, Rainer Thoma, Josef S. Watts
  • Patent number: 8533658
    Abstract: An exemplary system and method are disclosed for interactively teaching software development processes to multiple users. The exemplary system may include a discrete event simulator for teaching software development that allows a software development team to simulate an entire software development project much faster than real time. The system teaches an entire software development team a set of formal or informal processes using a project simulation. In the course of using the system, each member of the team learns the processes, and together, the entire team learns how to use the processes as a team. The system enables each team member to learn software development processes as well as how those processes translate into team interactions in practice. The system also enables the team to learn how to apply the processes in difficult situations.
    Type: Grant
    Filed: May 8, 2009
    Date of Patent: September 10, 2013
    Assignee: Northrop Grumman Systems Corporation
    Inventors: Terry A. Patten, Dennis V. Pereira
  • Patent number: 8527924
    Abstract: A method and apparatus are provided for use in synthesis of RTL integrated circuit design to determine the functional equivalence of designs. For example, the receiver receives a plurality of designs for synthesis in RTL and a data flow graph is derived for each design. Internal bit widths in the data flow graph representations are restricted (52) to provide a first modified version of each of the designs. These first modified versions are compared each with the design from which it was derived in a comparison unit (54). The input bit widths of the data flow graph representation are then restricted to be no wider than the output bit widths (56) to derive second modified versions of the designs (58). These second modified versions are compared with each other (60) to determine which are equivalent. Equivalent designs can be passed to an RTL synthesis unit 62, or otherwise further evaluated.
    Type: Grant
    Filed: April 6, 2012
    Date of Patent: September 3, 2013
    Assignee: Imagination Technologies, Ltd.
    Inventors: Theo Alan Drane, Freddie Rupert Exall
  • Patent number: 8521499
    Abstract: Systems and methods for run-time switching for simulation with dynamic run-time accuracy adjustment. In one embodiment, a computer implemented method performs a simulation of a computer instruction executing on a simulated hardware design by a first simulation model, wherein the first simulation model provides first timing information of the simulation. The first timing information is stored to a computer usable media. A pending subsequent simulation of the instruction is detected. Responsive to the presence of the first timing information in the computer usable media, the computer instruction is simulated by a second simulation model, wherein the second simulation model provides less accurate second timing information of the simulation than the first simulation model. The simulation run time information is updated for the subsequent simulation with the first timing information.
    Type: Grant
    Filed: March 1, 2011
    Date of Patent: August 27, 2013
    Assignee: Synopsys, Inc.
    Inventors: Karl Van Rompaey, Andreas Wieferink