Event-driven Patents (Class 703/16)
  • Patent number: 8281268
    Abstract: In a method of detecting metal line failures for a full-chip, a first net-list is converted to a second net-list. The first net-list includes first information related to elements and metal lines, and the second net-list includes second information susceptible to direct current analysis. Current densities of the metal lines are calculated by performing the direct current analysis on the second net-list. Defective metal lines among the metal lines are detected based on the current densities of the metal lines.
    Type: Grant
    Filed: December 11, 2009
    Date of Patent: October 2, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Gi-Young Yang, Se-Young Kim
  • Patent number: 8280713
    Abstract: A parametrically controlled model-based test generator automatically generates architectural compliance test suites for different implementations of a processor architecture, based on a set of architectural decisions chosen among optional behaviors for each implementation. Thus, different implementations of the same architecture can be easily supported by modifying the parameter values. In addition, ongoing changes to the architecture or comprehensive updates to the test suite can be easily handled by updating the architecture model or the coverage models, forgoing the need to review the whole, potentially huge, set of tests.
    Type: Grant
    Filed: April 16, 2007
    Date of Patent: October 2, 2012
    Assignee: International Business Machines Corporation
    Inventors: Allon Adir, Sigal Asaf, Laurent Fournier, Itai Jaeger
  • Patent number: 8281267
    Abstract: A circuit design support apparatus for supporting design of a semiconductor integrated circuit includes an upper limit path delay determining unit that sorts circuit paths included in the semiconductor integrated circuit by probability distributions for the delay values of the circuit paths, selects a worst path having a largest delay value in the circuit paths, and determines a maximum delay value as an upper limit path delay value that does not affect an operational timing of the semiconductor integrated circuit, a cell size optimization processor that replaces cells by modifying their cell sizes on the basis of the upper limit path delay value, and a critical path determining unit that determines critical paths that are capable of affecting the upper limit path delay value if the cell size optimization processor replaces cells included in the circuit paths.
    Type: Grant
    Filed: August 13, 2010
    Date of Patent: October 2, 2012
    Assignee: Fujitsu Limited
    Inventor: Hiroaki Komatsu
  • Patent number: 8275598
    Abstract: A computer-implemented method, system and computer program product are presented for managing an Effective-to-Real Address Table (ERAT) and a Translation Lookaside Buffer (TLB) during test verification in a simulated densely threaded Network On a Chip (NOC). The ERAT and TLB are stripped out of the computer simulation before executing a test program. When the test program experiences an inevitable ERAT-miss and/or TLB-miss, an interrupt handler walks a page table until the requisite page for re-populating the ERAT and TLB is located.
    Type: Grant
    Filed: March 2, 2009
    Date of Patent: September 25, 2012
    Assignee: International Business Machines Corporation
    Inventors: Anatoli S. Andreev, Olaf K. Hendrickson, John M. Ludden, Richard D. Peterson, Elena Tsanko
  • Patent number: 8275588
    Abstract: An emulation system includes a first circuit for emulating a first logical part of a device, a second circuit for emulating a second logical part of the device that is different from the first logical part, wherein the first circuit is separate from the second circuit, and a third circuit connecting the first circuit and the second circuit to communicate signals between the first circuit and the second circuit.
    Type: Grant
    Filed: April 17, 2009
    Date of Patent: September 25, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Chi-Ho Cha
  • Patent number: 8271254
    Abstract: A simulation model of BT instability of a transistor in a semiconductor integrated circuit, wherein a bias condition of at least one terminal among the drain terminal, the source terminal and the substrate terminal of the transistor is set up as an independent bias condition from other terminals; and then a model parameter of the transistor is changed in the set bias condition.
    Type: Grant
    Filed: July 23, 2007
    Date of Patent: September 18, 2012
    Assignee: Panasonic Corporation
    Inventors: Akinari Kinoshita, Tomoyuki Ishizu
  • Patent number: 8271918
    Abstract: Methods and apparatus for performing automated formal clock domain crossing verification on a device are detailed. In various implementations of the invention, a device may be analyzed, wherein the clock domain crossing boundaries are identified. Subsequently, a formal clock domain crossing verification method may be applied to the identified clock domain crossing boundaries, resulting in clock domain crossing assertions being identified. After which the identified assertions may be promoted for post clock domain crossing analysis. With various implementations of the invention, a formal clock domain crossing method is provided, wherein the device components near an identified clock domain crossing are extracted. Assertions may then be synthesized and verified based upon the extracted components. Various implementations of the invention provide for clock domain crossing verification to be performed iteratively, wherein a larger and larger selection of the device is extracted during formal verification.
    Type: Grant
    Filed: September 14, 2009
    Date of Patent: September 18, 2012
    Assignee: Mentor Graphics Corporation
    Inventors: Ka-Kei Kwok, Bing Li, Tai An Ly, Rojer Raji Sabbagh
  • Patent number: 8271923
    Abstract: A method, system and computer program product are provided for implementing forward tracing to reduce pessimism in static timing of logic blocks laid out in parallel structures on an integrated circuit chip. A common path pessimism removal algorithm is enhanced by a forward tracing parallel clock tree proximity credit algorithm that uses forward tracing, and computes a proximity credit that is applied to reduce pessimism in the static timing.
    Type: Grant
    Filed: July 22, 2010
    Date of Patent: September 18, 2012
    Assignee: International Business Machines Corporation
    Inventors: Craig M. Darsow, Timothy D. Helvey
  • Patent number: 8271924
    Abstract: Methods and apparatus are provided for allowing components such as buffers, multiplexers, ingress cores, etc. on a device such as a programmable chip to configure themselves based on parameter information. In some examples, self-configuring components obtain parameter information from adjacent components. In other examples, self-configuring components obtain parameter information from a system environment or a processor register. Component self-configuration can occur at a variety of times including preprocessing, simulation, and run-time.
    Type: Grant
    Filed: April 20, 2009
    Date of Patent: September 18, 2012
    Assignee: Altera Corporation
    Inventors: Kent Orthner, Desmond Ambrose, Geoff Barnes
  • Patent number: 8265919
    Abstract: Methods, systems, and apparatus, including computer programs encoded on a computer storage medium, for emulating a mass storage device and a file system of a mass storage device. In a first aspect, a human-portable data processing device that includes one or more data processors that perform operations in accordance with machine-readable instructions, an incoming message classifier configured to classify an incoming read command according to an address of the data requested by the incoming read command, and an emulation data generation component connected to respond to the classification of the incoming read command by the incoming message classifier to generate emulation data emulating that which would have been read by the incoming read command were the human-portable data processing device a mass storage device; and a bus controller configured to respond to the incoming read command with the emulation data generated by the emulation data generation component.
    Type: Grant
    Filed: September 30, 2011
    Date of Patent: September 11, 2012
    Assignee: Google Inc.
    Inventors: Jean Baptiste Maurice Queru, Christopher L. Tate
  • Publication number: 20120215516
    Abstract: In one embodiment, an IR drop analysis methodology may include characterizing standard cells without including power parasitic impedances, extracting the power parasitic impedances for the standard cells, and characterizing the standard cells with the power parasitic impedances. A set of timing parameters (such as minimum delays and maximum delays through the cells) may be generated from each characterization. The methodology may include comparing the timing parameters from each characterization, and identifying cells for which additional design effort should be expended to improve the power supply grid (e.g. to reduce the power parasitic impedances). For example, a margin may be budgeted for speed loss (delay increase) due to IR drop. If the difference in the timing parameters exceeds the margin, additional design effort may be warranted.
    Type: Application
    Filed: February 23, 2011
    Publication date: August 23, 2012
    Inventors: Betty Y. Lau, Edgardo F. Klass, Anup S. Mehta
  • Patent number: 8250511
    Abstract: A designing apparatus includes an initial estimating portion, a general power supply noise analyzing portion, a layout designing portion, a detail estimating portion, a detail power supply noise analyzing portion, and a layout adjusting portion. The initial estimating portion estimates general values of an entire consumed current and an entire on-chip capacitance. Based on the estimated general values, the general power supply noise analyzing portion creates a lumped constant circuit model so as to conduct a power supply noise analysis, for computing a current-capacitance ratio. Based on the current-capacitance ratio, the layout designing portion performs placement of cells for each of predetermined regions obtained by dividing a placement region. The detail estimating portion creates a lumped constant circuit model for each of the predetermined regions so as to estimate detail values of the consumed current and the on-chip capacitance for each of the predetermined regions.
    Type: Grant
    Filed: October 9, 2009
    Date of Patent: August 21, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Susumu Kobayashi
  • Patent number: 8249839
    Abstract: A method for building a magnetic bead mathematical model includes defining component elements of the model of the magnetic bead, building the model of the magnetic bead, obtaining a characteristic curve of an impedance of a magnetic bead in a standard magnetic bead specification of the magnetic bead, ascertaining parameters of the component elements, simulating the model of the magnetic bead, and comparing the characteristic curve with the characteristic curve in the standard magnetic bead specification, to further optimize the mode of the magnetic bead.
    Type: Grant
    Filed: April 1, 2010
    Date of Patent: August 21, 2012
    Assignees: Hong Fu Jin Precision Industry (ShenZhen) Co., Ltd., Hon Hai Precision Industry Co., Ltd.
    Inventor: Guang-Feng Ou
  • Patent number: 8250502
    Abstract: Disclosed is an automated synthesis system in which a generalized condition vector (GCV) is generated at a node that is the leaf of a tree indicating a conditional branch of a control/data flow graph representing the flow of behavioral control and data of a circuit. The GVC is a condition vector includes valid bits that are set as a condition vector of code 1 only at one component in a case where an outer conditional operation to a certain operation node is unresolved and, moreover, an inner conditional operation to said certain operation node is resolved, the valid bits being bits at positions where components of a vector of the inner side resolved conditional operation are 1's. The GVC of the operation node is calculated by taking a bitwise logical OR with the condition vector of the unresolved conditional operation.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: August 21, 2012
    Assignee: NEC Corporation
    Inventor: Kazutoshi Wakabayashi
  • Patent number: 8234104
    Abstract: A method for simulating a circuit. The method includes, in response to a first mode change triggering event at a first time point and in response to a first data transfer triggering event at a second time point after the first time point, generating a random value of at least a first random value and a second random value. In response to the generated random value being the first random value, a first input value of an input of the circuit is assigned to an output of the circuit. In response to the generated random value being the second random value, an output value of the output of the circuit is maintained. In response to a second data transfer triggering event at a third time point after the second time point, a second input value of the input of the circuit is assigned to the output of the circuit.
    Type: Grant
    Filed: April 16, 2008
    Date of Patent: July 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: John Joseph Bergkvist, Jr., Serafino Bueti, Francis A. Kampf, Douglas Thomas Massey
  • Patent number: 8234615
    Abstract: Assigning pins to macro-blocks of an Integrated Circuit (IC) chip is described. The macro-block pin assignments are automatically placed using Constraint Programming (CP) techniques to position the pins on the periphery of a macro-block. Bus-ordering and pin-spacing constraints are performed considering all the nets forming the IC design simultaneously. The CP formulation includes modeling detailed and discrete constraints required to achieve an optimal pin-assignment. A stochastic CSP solver is used to define the cost function on search points giving full assignments to all the variables. The macro-block pins are ultimately moved to computed locations.
    Type: Grant
    Filed: August 4, 2010
    Date of Patent: July 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Shyam Ramji, Bella Dubrov, Haggai Eran, Ari Freund, Edward F. Mark, Timothy A. Schell
  • Patent number: 8230373
    Abstract: An ESD analysis method and computer program product are disclosed. A circuit simulation is executed of design data of a semiconductor integrated circuit including a first power supply pad, a second power supply pad and a plurality of current paths between the first power supply pad and the second power supply pad, to calculate potentials in the plurality of current paths, when one of an ESD current and an ESD voltage is applied between the first power supply pad and the second power supply pad. An ESD tolerance is checked by calculating a potential difference between a first node coupled to the first power supply pad and a second node coupled to the second power supply pad, based on the calculated potentials. The first node and the second node are determined as nodes to be coupled to a border cell upon the potential difference being lower than a predetermined value.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: July 24, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Susumu Kobayashi, Morihisa Hirata, Mototsugu Okushima, Tomohiro Kitayama, Tetsuya Katou
  • Patent number: 8224638
    Abstract: A method of managing programmable device configuration can include running a server configuration image within the programmable device and storing a different configuration image within a non-volatile memory communicatively linked with the programmable device. Responsive to a switch request sent from the client to the programmable device over the communications link, the different configuration image can be loaded into the programmable device.
    Type: Grant
    Filed: January 5, 2007
    Date of Patent: July 17, 2012
    Assignee: Xilinx, Inc.
    Inventors: Nabeel Shirazi, Chi Bun Chan, Bradley K. Fross, Shay Ping Seng, Jonathan B. Ballagh
  • Patent number: 8225247
    Abstract: Systems and methods are disclosed to automatically design a custom integrated circuit includes receiving a specification of the custom integrated circuit including computer readable code and one or more constraints on the custom integrated circuit; automatically devising a processor architecture and generating a processor chip specification uniquely customized to the computer readable code which satisfies the constraints; and synthesizing the chip specification into a layout of the custom integrated circuit.
    Type: Grant
    Filed: July 13, 2010
    Date of Patent: July 17, 2012
    Inventors: Satish Padmanabhan, Pius Ng, Anand Pandurangan, Suresh Kadiyala, Ananth Durbha, Tak Shigihara
  • Patent number: 8225252
    Abstract: In some embodiments, a method includes characterizing a plurality of channels, each of the plurality of channels being a channel between a location and a respective one of the plurality of communication interfaces; for each of the plurality of communication interfaces, supplying signals to the communication interface and detecting interference that occurs at the location as a result of emissions radiated from the plurality of communication interface while the signals are supplied thereto; for each of the plurality of communication interfaces, determining an estimate of interference that would occur at the location as a result of emissions radiated from the communication interface while the signals are supplied thereto, based at least in part on the characterization of the channel between the location and the communication interface; and for each of the plurality of communication interfaces, comparing the estimate of interference that would occur at the location to the detected interference that occurs at the
    Type: Grant
    Filed: June 25, 2010
    Date of Patent: July 17, 2012
    Assignee: Intel Corporation
    Inventors: Alberto Alcocer Ochoa, Keith Raynard Tinsley
  • Patent number: 8219945
    Abstract: A method and system to automate scan synthesis at register-transfer level (RTL). The method and system will produce scan HDL code modeled at RTL for an integrated circuit modeled at RTL. The method and system comprise computer-implemented steps of performing RTL testability analysis, clock-domain minimization, scan selection, test point selection, scan repair and test point insertion, scan replacement and scan stitching, scan extraction, interactive scan debug, interactive scan repair, and flush/random test bench generation. In addition, the present invention further comprises a method and system for hierarchical scan synthesis by performing scan synthesis module-by-module and then stitching these scanned modules together at top-level. The present invention further comprises integrating and verifying the scan HDL code with other design-for-test (DFT) HDL code, including boundary-scan and logic BIST (built-in self-test).
    Type: Grant
    Filed: February 18, 2011
    Date of Patent: July 10, 2012
    Assignee: Syntest Technologies, Inc.
    Inventors: Laung-Terng Wang, Xiaoqing Wen
  • Patent number: 8219943
    Abstract: A design system for designing complex integrated circuits (ICs), a method of IC design and program product therefor. A layout unit receives a circuit description representing portions in a grid and glyph format. A checking unit checks grid and glyph portions of the design. An elaboration unit generates a target layout from the checked design. A data prep unit prepares the target layout for mask making. A pattern caching unit selectively replaces portions of the design with previously cached results for improved design efficiency.
    Type: Grant
    Filed: April 17, 2009
    Date of Patent: July 10, 2012
    Assignee: International Business Machines Corporation
    Inventors: John M Cohn, James A. Culp, Ulrich A. Finkler, Fook-Luen Heng, Mark A. Lavin, Jin Fuw Lee, Lars W. Liebmann, Gregory A. Northrop, Nakgeuon Seong, Rama N. Singh, Leon Stok, Pieter J. Woeltgens
  • Patent number: 8219376
    Abstract: A computer-implemented method for verifying a design includes representing a verification directive, which pertains to the design and includes a local variable, by a finite state machine. The state machine includes multiple states, with transitions among the states, transition conditions associated with the transitions, and procedural blocks, which correspond to the transitions and define operations to be performed on the local variable when traversing the respective transitions. The finite state machine is executed by traversing the transitions in accordance with the respective transition conditions and modifying the local variable in accordance with the respective procedural blocks of the traversed transitions, so as to verify the design with respect to the verification directive.
    Type: Grant
    Filed: February 27, 2008
    Date of Patent: July 10, 2012
    Assignee: International Business Machines Corporation
    Inventors: Dmitry Pidan, Sitvanit Ruah
  • Patent number: 8219954
    Abstract: A printed circuit board analyzing system for analyzing the whole circuit of a multilayer printed circuit board to perform circuit analysis of noise propagation in the printed circuit board having structure in which the shapes of stacked conductor planes are different or planes are provided side by side in the same layer by quickly providing an adjacent interference part equivalent circuit model representing noise interference parts causing interference between adjacent opposed planes and by coupling the plane pairs to the adjacent interference part equivalent circuit.
    Type: Grant
    Filed: December 6, 2007
    Date of Patent: July 10, 2012
    Assignee: NEC Corporation
    Inventor: Naoki Kobayashi
  • Patent number: 8214452
    Abstract: Embodiments include methods, apparatus, and systems for monitoring windows on computers. In one embodiment, movement of a mouse or cursor in a focused window of the computer is analyzed to determine whether an application is properly executing in the computer.
    Type: Grant
    Filed: July 30, 2007
    Date of Patent: July 3, 2012
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Mark J. Seger
  • Patent number: 8214774
    Abstract: A System-on-Chip (SoC) may include logic blocks connected to each other and to external connections, and a hardware debug infrastructure logic connected to the logic blocks and for performing functional changes to a design layout of the SoC. The hardware debug infrastructure logic may include software re-configurable modules based upon the logic blocks obtained from substituting a mask programmable ECO base cell configured as a functional logic cell for a logic cell in the design layout.
    Type: Grant
    Filed: December 29, 2009
    Date of Patent: July 3, 2012
    Assignee: STMicroelectronics S.r.l.
    Inventors: Valentina Nardone, Stefania Stucchi, Luca Ciccarelli, Lorenzo Calí
  • Patent number: 8205187
    Abstract: An executable circuit design is used to generate waveforms, from which behaviors of the circuit are captured. The behaviors and various combinations thereof can then be saved in a database, along with descriptions and other metadata about them, thereby generating a behavioral index of the circuit design code. Behavioral indexing of circuit designs allows a user to maintain an indexed behavior database, track changes in behaviors as the circuit design's executable description evolves, and figure out how the executable description can be reused in different projects. When applied to digital design development, it facilities the current design and verification effort, as well as design reuse down the line.
    Type: Grant
    Filed: June 9, 2010
    Date of Patent: June 19, 2012
    Assignee: Jasper Design Automation, Inc.
    Inventors: Claudionor José Nunes Coelho, Chung-Wah Norris Ip, Harry David Foster, Rajeev Kumar Ranjan, Kathryn Drews Kranen, Georgia Penido Safe
  • Patent number: 8204732
    Abstract: In an embodiment, a graphical model may include a functional portion and a architectural portion. The architectural portion may describe a multiprocessor system. Inter-process communication blocks may be defined that describe the connectivity of functional blocks in the deployed version of the model. The IPC blocks may describe the connectivity of the blocks independent of the communication channel(s) that connect the processor nodes in the multiprocessor system.
    Type: Grant
    Filed: May 1, 2009
    Date of Patent: June 19, 2012
    Assignee: The MathWorks, Inc.
    Inventors: Tunc Simsek, Mani Ramamurthy
  • Patent number: 8204722
    Abstract: A disclosed device includes a simulation apparatus which simulates a shift in signal characteristics occurring in a wiring pattern formed in a printed wiring board including a first database that stores wiring pattern attribute information and wiring pattern positional information, a second database storing solid lack portion size information and solid lack portion positional information, a third database that stores shift amount information relative to positional relationships between the wiring patterns and the solid lack portions, a shift amount processing unit configured to obtain the shift amount of the signal characteristics in the wiring pattern corresponding to the wiring pattern attribute information which is input based on the wiring pattern positional information corresponding to the wiring pattern attribute information which is input, the solid lack portion positional information, the solid lack portion size information, and the shift amount information.
    Type: Grant
    Filed: July 6, 2010
    Date of Patent: June 19, 2012
    Assignee: Fujitsu Limited
    Inventor: Daita Tsubamoto
  • Patent number: 8205182
    Abstract: In one embodiment of the invention, a method for designing an integrated circuit is disclosed. The method includes automatically partitioning clock sinks of an integrated circuit design into a plurality of partitions; automatically synthesizing a clock tree from a master clock generator into the plurality of partitions to minimize local clock skew within each of the plurality of partitions; and automatically synthesizing clock de-skew circuitry into each of the plurality of partitions to control clock skew between neighboring partitions.
    Type: Grant
    Filed: August 22, 2008
    Date of Patent: June 19, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Radu Zlatanovici, Christoph Albrecht, Saurabh Kumar Tiwary
  • Patent number: 8201119
    Abstract: Some embodiments of the present invention provide techniques and systems for determining whether a high-level model (HLM) for a circuit design is equivalent to a register-transfer-level (RTL) model for the circuit design. During operation, a system can identify a set of checkpoints. Each checkpoint can be associated with a characteristic function defined over the states of a finite-state-machine (FSM) representation of the HLM, a characteristic function defined over the states of an FSM representation of the RTL model, and an invariant defined over a set of variables in the HLM and a set of registers in the RTL model. Next, the system can generate a set of invariant proof problems, wherein each invariant proof problem corresponds to a transition between two checkpoints in the set of checkpoints. The system can then determine whether the HLM is equivalent to the RTL model by solving the set of invariant proof problems.
    Type: Grant
    Filed: May 6, 2010
    Date of Patent: June 12, 2012
    Assignee: Synopsys, Inc.
    Inventor: Alfred Koelbl
  • Patent number: 8201126
    Abstract: A method for designing a system on a target device is disclosed. A first plurality of components in the system are assigned to be placed by an computer aided design (CAD) tool based on a criterion. A second plurality of components in the system are assigned to be placed by a hardware placement unit based on the criterion. Placement results from the CAD tool and the hardware placement unit are used to generate a placement solution for the system on the target device. Other embodiments are described and claimed.
    Type: Grant
    Filed: November 12, 2009
    Date of Patent: June 12, 2012
    Assignee: Altera Corporation
    Inventor: John Curtis Van Dyken
  • Patent number: 8191033
    Abstract: Embodiments of the present invention provide a method/apparatus to measure the jitter of a timing signal used in an integrated circuit chip. The method/apparatus is used to send data from a launch element using a synchronous data path of the timing signal, receive the data at a capture element using the synchronous data path, wherein the launch element and the capture element are disposed on the same integrated circuit chip upon which the timing signal is generated and/or used, and gather statistics about whether a timing violation has occurred by comparing the sent data with the received data over the course of multiple launch/capture events as the timing is adjusted. Other embodiments may be described and/or claimed.
    Type: Grant
    Filed: November 6, 2009
    Date of Patent: May 29, 2012
    Assignee: Marvell International Ltd.
    Inventor: Thomas Page Bruch
  • Patent number: 8191024
    Abstract: A computer program for generating an H-tree for an integrated circuit design stored on a computer readable medium includes code to receive from a user a set of parameters to configure the H-tree. The parameters include a starting segment length and an ending segment length. The computer program also includes code to select a starting location in the integrated circuit design. The computer program further includes code to place an anchor H at the starting location. The computer program further includes code to recursively place child Hs on the H-tree based on the starting segment length and the ending segment length to create a fan-out with equal weight on each child H. The number of levels of the H-tree is calculated according to a rounded down integer equal to a binary logarithm of a quotient of the starting segment length divided by the ending length.
    Type: Grant
    Filed: March 16, 2009
    Date of Patent: May 29, 2012
    Assignee: QUALCOMM Incorporated
    Inventor: Chandrasekhar Singasani
  • Patent number: 8185853
    Abstract: Embodiments in the present disclosure pertain to domain translators. A domain translator converts a variable from one domain to a different domain. Domains include, but are not limited to, voltage, current, frequency, phase, delay, and duty-cycle. In particular, domain translators enable conversion between standard voltage and current domains commonly used by circuit simulators to other domains such as frequency, phase, delay, duty-cycle, etc., so that linear analysis can be performed on a wide range of circuits that exhibit linear behavior in domains other than voltage and current.
    Type: Grant
    Filed: February 28, 2008
    Date of Patent: May 22, 2012
    Assignee: Rambus Inc.
    Inventors: Jaeha Kim, Kevin D. Jones, Mark Horowitz
  • Patent number: 8185368
    Abstract: A simulation environment is disclosed wherein both analog and RF signals are simulated in a single flow by a mixed-domain simulator. The simulator includes a simulator kernel with an analog solver and an RF solver to allow both analog- and RF-type of signals to be solved in an interrelated fashion. The simulator may also include a partitioner that divides the circuit into various RF and analog modules to be solved. User input may control the partitioning process, but the simulator may refine the partitions or generate sub-partitions to provide a higher probability of convergence.
    Type: Grant
    Filed: May 10, 2010
    Date of Patent: May 22, 2012
    Assignee: Mentor Graphics Corporation
    Inventors: Pascal Bolcato, Remi Larcheveque, Joel Besnard
  • Patent number: 8185854
    Abstract: A method for designing a system on a target device is disclosed. Domains and sub-domains in the system are identified. A sub-domain is divided into a plurality of chunks. Slacks for the chunks are computed in parallel. Other embodiments are described and claimed.
    Type: Grant
    Filed: August 20, 2009
    Date of Patent: May 22, 2012
    Assignee: Altera Corporation
    Inventors: Michael D. Hutton, Jason Govig
  • Patent number: 8181134
    Abstract: A technique for conditional sequential equivalence checking of logic designs embodied in netlists includes creating an equivalence-checking netlist over a first netlist and a second netlist. The conditional sequential equivalence checking includes conditions under which equivalences of the first and second netlists are checked. The technique derives a set of candidate conditional equivalence invariants for each correlated gate in a correlated gate pair set and attempts to prove that each candidate conditional equivalence invariant in the set of candidate conditional equivalence invariants is accurate. The candidate conditional equivalence invariants that cannot be proven accurate are removed from the set of candidate conditional equivalence invariants. The candidate conditional equivalence invariants that have been proven accurate are recorded as a set of conditional equivalence invariants.
    Type: Grant
    Filed: October 16, 2009
    Date of Patent: May 15, 2012
    Assignee: International Business Machines Corporation
    Inventors: Jason R. Baumgartner, Michael L. Case, Hari Mony, Jun Sawada
  • Patent number: 8176452
    Abstract: Methods and apparatuses for incremental circuit partitioning and incremental trace assignment. In one embodiment of the present invention, a cost function based on both the partitioning solution and the trace assignment solution is used for the partitioning of a circuit; in reducing the cost function, blocks of circuits are moved among partitions and the trace assignment are updated accordingly to evaluate the cost function. In one embodiment, the traces and nets are grouped according to the partitions they connect for trace assignment. In one embodiment, a flow diagram is constructed for assigning nets to traces; and, maximum flow algorithms are used. In one embodiment, a flow diagram includes feedthrough solutions, in which flow conservation is not preserved at certain nodes. In one embodiment, integer linear programming techniques are used for assigning nets to traces.
    Type: Grant
    Filed: November 9, 2010
    Date of Patent: May 8, 2012
    Assignee: Synopsys, Inc.
    Inventors: Awartika Pandey, Drazen Borkovic, Kenneth S. McElvain
  • Patent number: 8176451
    Abstract: A behavioral synthesis apparatus includes a acquisition unit, a scheduling unit and a generation unit. The acquisition unit acquires a behavioral level description describing an operation of a semiconductor integrated circuit. The scheduling unit separates the acquired behavioral level description into N stage descriptions, and makes a schedule in such a way that input/output operations and computations among the N stage descriptions are pipelined. The generation unit generates a register transfer level description based on the N stage descriptions and a result of scheduling performed by the scheduling unit in such a way as to form stage circuits respectively corresponding to the N stage descriptions and a state control circuit which controls possible 2N?1 stage control states of the semiconductor integrated circuit. The generation unit generates the register transfer level description in such a way as to inhibit the operation of a stage circuit which need not be operated.
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: May 8, 2012
    Assignees: NEC Corporation, Renesas Electronics Corporation
    Inventors: Takao Toi, Noritsugu Nakamura, Yoshinosuke Kato, Toru Awashima, Taro Fujii, Toshiro Kitaoka, Koichiro Furuta, Masato Motomura
  • Patent number: 8171440
    Abstract: A timing analyzing apparatus according to an exemplary aspect of the invention includes, a storage apparatus which stores a global clock list including information on clock paths inside and outside a partial area of an electronic circuit, and a post layout processing area netlist which is a netlist of the partial area after layout processing of circuits therein is executed; and a timing analyzing unit which calculates the clock skew between two points on the circuits in the partial area, neglecting the clock delay of a common part outside thereof of two clock paths from the clock source, located outside thereof in the electronic circuit, to the two points (CRPR calculation), to judge whether the delay of a clock path and a signal path of the electronic circuit satisfies timing constraints using the calculated clock skew.
    Type: Grant
    Filed: August 6, 2009
    Date of Patent: May 1, 2012
    Assignee: NEC Corporation
    Inventor: Koji Kanno
  • Patent number: 8171438
    Abstract: Provided are a method, system, and article of manufacture for verification of a program partitioned according to the control flow information of the program. Properties are received indicating outcome states for a program. The program is processed to determine a control flow in the program and paths in the control flow. Enabled paths are determined in the control flow having states satisfying requirements of the outcome states. For each enabled path, a determination is made of inert variables not used along the control flow of the path and a representation of states and transitions for the enabled path is generated, wherein the represented states and transitions do not include the inert variables. The generated representation of the states and transitions for the enabled path are combined into a merged computation image.
    Type: Grant
    Filed: August 25, 2006
    Date of Patent: May 1, 2012
    Assignee: International Business Machines Corporation
    Inventor: David Ward
  • Patent number: 8166430
    Abstract: A method is specified for determining the quality of a quantity of properties describing a machine, including a step for determining the existence of at least one sub-quantity of interrelated properties (P0, P1, . . . Pn) of the form Pi=(forall t. Ai(t)=>Zi(t)), wherein Ai(t) present an initial state and Zi(t) a target state for a corresponding property and at least one initial state Ai is dependant on internal signals and including a step for checking whether at least one aspect of the input/output behavior of the machine described by the properties, which cannot be derived from an individual property Pi, is described to such an accurate extent that one property Q exists, which represents this aspect without being dependant on the internal signals. The procedure is capable of providing a measurement and can particularly be used in the verification and specification of circuits.
    Type: Grant
    Filed: June 22, 2009
    Date of Patent: April 24, 2012
    Assignee: Onespin Solutions GmbH
    Inventors: Jörg Bormann, Holger Busch
  • Patent number: 8166431
    Abstract: A method of reducing startup time of an embedded system can include: instantiating a circuit, specified by a first circuit design, within an integrated circuit (IC), booting a first build of an operating system executed by a processor to a steady state, and responsive to achieving the steady state, storing a circuit operational state of the circuit instantiated within the IC, an operational state of the processor, and a state of an executable memory utilized by the processor. A second circuit design can be created and a second build of the operating system can be created that collectively specify the circuit operational state, the operational state of the processor, and a state of an executable memory. The second circuit design and the second build of the operating system can be stored in the memory.
    Type: Grant
    Filed: August 20, 2009
    Date of Patent: April 24, 2012
    Assignee: Xilinx, Inc.
    Inventors: David McAndrew, Juan J. Noguera Serra, Amr El Monawir
  • Patent number: 8166433
    Abstract: A floating net inspection method includes: providing a netlist which describes a circuit structure of an application circuit, the application circuit including a plurality of transistors; coupling a power supply port and a signal input port of the application circuit to voltage sources, respectively; generating test voltages respectively through the voltage sources, such that the test voltages are applied to the transistors, the test voltages being larger than a reference voltage; and determining whether a connecting node of one of the transistors is floating on the basis of whether a voltage of the connecting node is larger than the reference voltage.
    Type: Grant
    Filed: June 29, 2009
    Date of Patent: April 24, 2012
    Assignee: Realtek Semiconductor Corp.
    Inventor: Yu-Lan Lo
  • Patent number: 8160860
    Abstract: Method, apparatus, and computer readable medium for simulating a logic design having power domains are described. In some examples, a switchable power domain of the power domains is identified, the switchable power domain having primary inputs and having a power state switchable between a power-on state and a power-off state. The logic design is traversed to analyze driver and load logic of each of the primary inputs to the switchable power domain to identify any pure pass-through nets each of which has no driver and no load logic in the switchable power domain.
    Type: Grant
    Filed: March 30, 2009
    Date of Patent: April 17, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventor: Yonghao Chen
  • Patent number: 8160844
    Abstract: In one embodiment, a processing block of a block diagram receives input data including a plurality of data elements organized as a matrix of a first size. At least a portion of the input data is partitioned into a plurality of data blocks in response to user-selected parameters. A data block includes selected ones of the plurality of data elements organized as a matrix of a second size. The data processing block performs a data processing function on the plurality of data blocks in a user-specified order to yield processed data corresponding to each data block. The processed data corresponding to each data block is then reassembled to form output data corresponding to the input data and the output data is output from the processing block to another block of the block diagram.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: April 17, 2012
    Assignee: The MathWorks, Inc.
    Inventors: Houman Zarrinkoub, Donald Paul Orofino, II, Navan Ruthramoorthy
  • Patent number: 8156456
    Abstract: A method of designing an integrated circuit (IC) having multiple dies can include identifying a unified design library having a first process node specific (PNS) library for a first IC process technology and a second PNS library for a second IC process technology. The first PNS library can be correlated with a first die of the IC. The second PNS library can be correlated with the second die of the IC. Via a processor, a circuit element can be defined within a circuit design implemented within the IC according to the PNS library correlated to the die in which the circuit element is located.
    Type: Grant
    Filed: July 1, 2010
    Date of Patent: April 10, 2012
    Assignee: Xilinx, Inc.
    Inventors: Arifur Rahman, Min-Hsing Chen
  • Patent number: 8145967
    Abstract: A system and method for verifying the receive path of an input/output device such as a network interface circuit. The device's operation with various different input sources (e.g., networks) and output sources (e.g., hosts, host buses) is modeled in a verification layer that employs multiple queues to simulate receipt of packets, calculation of destination addresses and storage of the packet data by the device. Call backs are employed to signal completion of events related to storage of packet data by the device and modeling of data processing within the verification layer. Processing of tokens within the verification layer to mimic the device's processing of corresponding packets is performed according to a dynamic DMA policy modeled on the device's policy. The policy is dynamic and can be updated or replaced during verification without interrupting the verification process.
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: March 27, 2012
    Assignee: Oracle America, Inc.
    Inventors: Arvind Srinivasan, Rahoul Puri
  • Patent number: 8141013
    Abstract: A method of linking on-chip parasitic coupling capacitance into distributed pre-layout passive models such as distributed transmission line models and on-chip spiral inductor models includes recognizing a passive device such as a distributed transmission line device and an on-chip spiral inductor device, interpreting data obtained from the recognizing the passive device, breaking the passive device into a plurality of sections, the plurality of sections including a terminal of a model call, extracting parameters of the passive device by Layout Versus Schematic (LVS) and parasitic extraction, connecting the terminal to a pre-layout passive network by selectively low and high resistive paths set by the parameters of the passive device depending on whether crossing lines are present or not present in one of the plurality of sections, connecting the terminal to a distributed passive model, and coupling the crossing lines to the terminal via capacitors produced in an extracted netlist with the passive device havin
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: March 20, 2012
    Assignee: International Business Machines Corporation
    Inventors: Wayne H. Woods, Cole E. Zemke