Scan Path Testing (e.g., Level Sensitive Scan Design (lssd)) Patents (Class 714/726)
  • Publication number: 20130111285
    Abstract: An integrated circuit comprises scan test circuitry and additional circuitry subject to testing utilizing the scan test circuitry. The scan test circuitry comprises at least one scan chain having a plurality of scan cells, with the scan chain being configured to operate as a serial shift register in a scan shift mode of operation and to capture functional data from at least a portion of the additional circuitry in a functional mode of operation. At least a given one of the scan cells of the scan chain comprises multiplexing circuitry configured to select one of multiple data lines of the scan cell for application to a functional output of the scan cell. For example, the multiplexing circuitry may comprise an output multiplexer configured to select between data outputs of master and slave flip-flops for connection to the functional output of the scan cell responsive to a test mode select.
    Type: Application
    Filed: October 27, 2011
    Publication date: May 2, 2013
    Applicant: LSI Corporation
    Inventors: Sreejit Chakravarty, Cam Luong Lu
  • Publication number: 20130103994
    Abstract: An integrated circuit comprises scan test circuitry and additional circuitry subject to testing utilizing the scan test circuitry. The scan test circuitry comprises at least one scan chain having a plurality of sub-chains associated with respective distinct clock domains, and clock domain bypass circuitry configured to selectively bypass one or more of the sub-chains. The scan chain is configurable in a scan shift mode of operation to form a serial shift register that includes fewer than all of the sub-chains with at least a remaining one of the sub-chains being bypassed by the clock domain bypass circuitry so as to not be part of the serial shift register in the scan shift mode. By selectively bypassing portions of the scan chain associated with particular clock domains, the clock domain bypass circuitry serves to reduce test time and power consumption during scan testing.
    Type: Application
    Filed: October 25, 2011
    Publication date: April 25, 2013
    Applicant: LSI Corporation
    Inventors: Ramesh C. Tekumalla, Priyesh Kumar
  • Patent number: 8429472
    Abstract: Provided are a generation device to reduce launch switching activity, yield loss risk, and power consumption of testing, even in the at-speed scan testing, even with a small number of don't-care (X) bits in input bits as in test compression, without any impact on test data volume, fault coverage, performance, and circuit design, by putting focus on internal lines in the circuit. The generation device includes a target internal line selection unit, a target internal line distinction unit, an identification unit that identifies a bit to be an unspecified bit and a bit to be a logic bit in the input bits, and an assignment unit that assigns a logic value 1 or a logic value 0 to unspecified bits in the input bits. The identification unit includes an unspecified bit identification unit and an input logic bit identification unit.
    Type: Grant
    Filed: July 30, 2009
    Date of Patent: April 23, 2013
    Assignee: National University Corporation Kyushu University Institute of Technology
    Inventors: Kohei Miyase, Xiaoqing Wen, Seiji Kajihara, Yuta Yamato
  • Patent number: 8429471
    Abstract: An apparatus for precluding the use of extended JTAG operations, including a JTAG control chain, a feature fuse, a level sensor, an access controller, and a blow controller. The JTAG control chain enables/disables the extended JTAG operations. The feature fuse indicates whether the extended JTAG features are to be disabled. The level sensor monitors an external voltage signal, and indicates that the external voltage signal is at a legal level. The access controller determines if the feature fuse is blown, and directs the JTAG control chain to disable the extended JTAG operations if the feature fuse is blown, and directs the JTAG control chain to disable the extended JTAG operations if the external voltage signal is at an illegal level regardless of whether the feature fuse is blown. The blow controller receives a voltage, and blows a selected fuse within a fuse array responsive to a valve of the voltage.
    Type: Grant
    Filed: June 25, 2010
    Date of Patent: April 23, 2013
    Assignee: VIA Technologies, Inc.
    Inventors: G. Glenn Henry, Dinesh K. Jain
  • Patent number: 8423843
    Abstract: Scan blocks with scan chains are used to partition and test semiconductor devices using scan groups. The partitioning of the semiconductor device enables testing of all elements within each scan block, at speed, to provide fault coverage. A challenge in scan testing is keeping the power dissipation during testing under the allowed power capabilities of the tester power supplies, as the power used during scan test is much higher than that used during functional testing. A method for estimating the power dissipation of scan blocks in a circuit during the design stage is disclosed. Using the results generated, the circuit designer divides the design into an optimum number of scan blocks for test. Thus at-speed scan of the individual or groups of scan blocks can be estimated, during design, for optimizing test time while keeping the test power within acceptable limits.
    Type: Grant
    Filed: October 22, 2010
    Date of Patent: April 16, 2013
    Assignee: Atrenta, Inc.
    Inventor: David Allen
  • Patent number: 8423844
    Abstract: A scannable register array structure includes a plurality of individual latches, each configured to hold one bit of array data in a normal mode of operation. The plurality of individual latches operate in scannable latch pairs in a test mode of operation, with first latches of the scannable latch pairs comprising L1 latches and second latches of the scannable latch pairs comprising L2 latches. A test clock signal generates a first clock pulse signal, A, for the L1 latches and a second clock pulse signal, B, for the L2 latches. The L2 latches are further configured to selectively receive L1 data therein upon a separate activation of the B clock signal, independent of the test clock signal, such that a scan out operation of the individual latches results in observation of L1 latch data.
    Type: Grant
    Filed: January 11, 2011
    Date of Patent: April 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Pamela S. Gillis, David E. Lackey, Steven F. Oakland, Jeffery H. Oppold
  • Publication number: 20130091395
    Abstract: A circuit for reducing peak power during transition fault testing of an integrated circuit (IC) includes a programmable register that receives scan shift and SDI (scan data in) signals. Input and output ports of the programmable register are connected together. A multiplexer is provided that has a first input port that is maintained asserted, and a second input port connected to the output port of the programmable register. A scan shift signal, which remains asserted during a scan shift operation and de-asserted during a scan capture operation, is provided at a select input port of the multiplexer. The output of the multiplexer is provided as an input to a clock gating cell. The clock gating cell selectively provides the clock signal to the scan-chain flip-flops in the IC based on the scan shift signal and a functional enable signal, and reduces peak power during transition fault testing.
    Type: Application
    Filed: October 8, 2011
    Publication date: April 11, 2013
    Applicant: FREESCALE SEMICONDUCTOR, INC
    Inventors: HIMANSHU KUKREJA, Deepak Agrawal
  • Patent number: 8418008
    Abstract: A scan clock modifier, a method of providing a variable scan clock, an IC including a scan clock modifier and a library including a cell of a scan clock modifier. In one embodiment, the scan clock modifier includes: (1) logic circuitry configured to provide at least one selected clock signal based on a test scan clock signal and a first clock control signal, both of the test scan clock signal and the first clock control signal received from test equipment and (2) comparison logic configured to provide a scan clock signal based on the at least one selected clock signal and at least one other clock control signal received from the test equipment, wherein the first and the at least one other clock control signals are different clock control signals.
    Type: Grant
    Filed: December 18, 2008
    Date of Patent: April 9, 2013
    Assignee: LSI Corporation
    Inventors: Sreejit Chakravarty, Narendra B. Devta-Prasa, Arun Gunda, Fan Yang
  • Patent number: 8418009
    Abstract: A computer-readable medium stores therein a program that causes a computer to execute acquiring for each chip, first delay values of paths in chips manufactured using circuit information concerning a circuit-under-test; building a function model representing a delay value of a path, based on the first delay values for the path and the circuit information; calculating a second delay value of a path included in and having the same configuration in each chip, using a built function model and the circuit information; comparing for each chip, a given calculated second delay value and the first delay value of a given path having a configuration identical to that of the path for which the given second delay value has been calculated; determining based on a comparison result, the given path to be a path that includes a delay error occurring irregularly according to chip; and outputting a determination result.
    Type: Grant
    Filed: May 12, 2010
    Date of Patent: April 9, 2013
    Assignee: Fujitsu Limited
    Inventor: Tsutomu Ishida
  • Patent number: 8412994
    Abstract: Clock control circuitry for an integrated circuit, a method of testing an integrated circuit having a clock gate, an integrated circuit and a library of cells including the clock control circuitry are provided. In one embodiment, the integrated circuit includes: (1) a clock gate configured to apply a clock signal to at least a first scan chain of the integrated circuit, (2) combinational logic coupled to an input of the clock gate and (3) Design-for-Test logic located external to the combinational logic and coupled to the clock gate and a first cell of a second scan chain of the integrated circuit, the Design-for-Test logic configured to control operation of the clock gate based on a logic value of the first cell.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: April 2, 2013
    Assignee: LSI Corporation
    Inventor: Narendra B. Devta-Prasanna
  • Patent number: 8412989
    Abstract: An integrated circuit comprising (i) a plurality of portions, each portion including test control circuitry; and (ii) at least one test input arranged to receive test signals, the circuit having a test mode in which one or more of the plurality of portions are testable, wherein the circuit has a reset mode which has priority over the test mode.
    Type: Grant
    Filed: April 3, 2012
    Date of Patent: April 2, 2013
    Assignee: STMicroelectronics Limited
    Inventor: Robert Warren
  • Patent number: 8412991
    Abstract: Embodiments related to identifying a reference scan cell locationally related to a fault condition exhibited by a scan chain in which the reference scan cell is included are provided. A method for identifying a reference scan cell is provided, the method including, in a capture mode, outputting combinational logic values to scan cells in the scan chain so that scan cell values for the scan cells are based on respective combinational logic values, the combinational logic values electrically connected with the scan chain. The method further includes, in a shift mod, sequentially determining the scan cell value for each scan cell, and identifying as the reference scan cell a scan cell last determined to be at an expected logical state for that scan cell.
    Type: Grant
    Filed: September 2, 2011
    Date of Patent: April 2, 2013
    Assignee: Teseda Corporation
    Inventors: Rich Ackerman, John Raykowski
  • Patent number: 8412993
    Abstract: A method for adjusting timing of multiple cores within an integrated circuit includes selecting a reference core and a target core from among a plurality of cores of an integrated circuit. Self-test circuitry of the integrated circuit is used to generate a response signature for each of the reference core and the target core. The response signature of the reference core is compared with the response signature of the target core. A local clock buffer of the target core is adjusted until the response signature of the target core matches the response signature of the reference core.
    Type: Grant
    Filed: May 27, 2010
    Date of Patent: April 2, 2013
    Assignee: International Business Machines Corporation
    Inventors: Peilin Song, Franco Stellari
  • Patent number: 8412992
    Abstract: In a first embodiment a TAP 318 of IEEE standard 1149.1 is allowed to commandeer control from a WSP 202 of IEEE standard P1500 such that the P1500 architecture, normally controlled by the WSP, is rendered controllable by the TAP. In a second embodiment (1) the TAP and WSP based architectures are merged together such that the sharing of the previously described architectural elements are possible, and (2) the TAP and WSP test interfaces are merged into a single optimized test interface that is operable to perform all operations of each separate test interface.
    Type: Grant
    Filed: July 17, 2012
    Date of Patent: April 2, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20130080849
    Abstract: Embodiments of the disclosed technology comprise techniques that can be used to generate scan chain test patterns and improve scan chain failure diagnosis resolution. For example, certain embodiments can be used to generate high quality chain diagnosis test patterns that are able to isolate a scan chain defect to a single scan cell. At least some embodiments can be used to locate faults over multiple capture cycles in the scan chain.
    Type: Application
    Filed: November 19, 2012
    Publication date: March 28, 2013
    Applicant: MENTOR GRAPHICS CORPORATION
    Inventor: MENTOR GRAPHICS CORPORATION
  • Publication number: 20130080848
    Abstract: A system and method to select a gate to be modified as a test isolation gate is disclosed. In a particular embodiment, a circuit includes a combinational logic portion including a logic path including a test isolation gate between a starting element and an ending element. The logic path includes at least a first gate element between the starting element and the test isolation gate. The logic path also includes at least a second gate element between the test isolation gate and the ending element. The starting element and the ending element are coupled to be tested via a scan chain test process during a test mode. In the test mode, an output of the second gate element is fixed at a constant logic level.
    Type: Application
    Filed: November 19, 2012
    Publication date: March 28, 2013
    Applicant: QUALCOMM INCORPORATED
    Inventor: QUALCOMM Incorporated
  • Patent number: 8407544
    Abstract: An integrated circuit device includes a plurality of functional tiles. Each functional tile may be configured into a scan chain. A clock generator is operable to generate an internal clock signal that is distributed to each of the functional tiles. A clock gater is associated with each of the functional tiles. Each clock gater is operable to receive an external enable signal and the internal clock signal, generate a scan clock signal for loading a test pattern into the scan chain based on the external enable signal and the internal clock signal, and generate at least one capture clock signal for capturing a response of the tile to the test pattern responsive to identifying the loading of the test pattern.
    Type: Grant
    Filed: April 16, 2010
    Date of Patent: March 26, 2013
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Amitava Majumdar, Vasu Ganti
  • Patent number: 8407540
    Abstract: A data processing circuitry includes a data input, a data output and a processing path arranged between the data input and the data output. The circuitry includes a plurality of retention circuits arranged in parallel with the processing path. At least one potential error detecting circuit including a potential error detecting path for transmitting the data signal pending at an input of one of a plurality of synchronization circuits to one of the retention circuits where the potential error detecting path includes delay circuitry for delaying the data. Also included is comparison circuitry for comparing a value of the data signal captured by one of the synchronization circuits with a value of the data signal captured by a corresponding one of the retention circuits. A comparison circuitry is configured to signal a potential error in response to detecting a difference in the captured data values.
    Type: Grant
    Filed: June 15, 2010
    Date of Patent: March 26, 2013
    Assignee: ARM Limited
    Inventor: Vikas Chandra
  • Patent number: 8407541
    Abstract: Integrated circuits with dynamic pin routing capabilities are provided. An integrated circuit may include circuitry under test and a dynamic signal routing controller. The dynamic signal routing controller may include multiplexers, a test register, and a signal select register. The circuitry under test may be connected to internal test lines that receive static test signals and dynamic test signals. The internal test lines that receive static test signals may be selectively routed to the test register (e.g., test registers store static test signals) while the internal test lines that receive dynamic test signals may be selectively routed to test pins (e.g., dynamic test signals are driven through the test pins). Each multiplexer may have a given input that is connected to the test register and additional inputs that are connected to the test pins. The signal select register stores control bits that configure the routing performed by each multiplexer.
    Type: Grant
    Filed: June 18, 2010
    Date of Patent: March 26, 2013
    Assignee: Altera Corporation
    Inventors: Seong Hong Teh, Seng Kuan Yeow, Shen Shen Lee
  • Patent number: 8407539
    Abstract: The test circuit can apply a stress to each node of each object combinational circuit in the semiconductor device and suppress the semiconductor circuit overhead when in burn-in or leak test operations for the semiconductor device while it has been impossible to apply such a stress to any of such nodes only with use of an F/F circuit in any conventional environments. The test circuit is disposed in the semiconductor and combined with first and second combinational circuits therein. In the semiconductor device, a transfer gate switch is connected between first and second nodes and a first transistor is connected between the second node and a power supply. The second transistor is connected between the second node and a ground. Each of the transfer gate switch and the first and second transistors operates according to at least one of the control signals supplied from outside the semiconductor device.
    Type: Grant
    Filed: February 22, 2010
    Date of Patent: March 26, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Satoshi Ishizuka
  • Patent number: 8402329
    Abstract: Flip-flops 201 to 206 constitute a scan path shift register. During shift mode operation, a clock signal CLK is supplied to clock terminals of the flip-flops 201, 203, and 205, a signal obtained by having an inverted clock control circuit 303 reverse the phase of the clock signal CLK is supplied to clock terminals of the flip-flops 202 and 206, and a normal/inverted clock control circuit 404 supplies a signal having the same phase as the clock signal CLK to a clock terminal of the flip-flop 204 having no sufficient setup time.
    Type: Grant
    Filed: May 28, 2010
    Date of Patent: March 19, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Keitarou Niiyama, Noriyuki Sakano, Yuuki Takahashi
  • Patent number: 8400181
    Abstract: A wafer is disclosed that includes a plurality of pipeline interconnected integrated circuit dies that form a plurality of pipelines. A plurality of dies in each pipeline is connected to receive scanned output test data from a neighboring die in a pipeline. A wafer level test access mechanism (TAM) transceiver circuitry, located outside the plurality of pipeline interconnected IC dies, is connected in common to each of the pipelines to provide input test data in a parallel fashion to the plurality of pipelines. The wafer level test access mechanism transceiver circuitry also provides output test results from each of the pipelines for evaluation by a computerized test system. In one embodiment, the wafer level test access mechanism transceiver circuitry is wireless so that it wirelessly receives test data to be passed through the multiple pipelines on a wafer and also includes wireless transmit circuitry to transmit test results from each of the pipelines.
    Type: Grant
    Filed: April 28, 2010
    Date of Patent: March 19, 2013
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Sravan Kumar Bhaskarani
  • Patent number: 8402328
    Abstract: An apparatus and method for soft-error resilience or correction with the ability to perform a manufacturing test operation, a slow-speed snapshot operation, a slow-speed signature analysis operation, an at-speed signature analysis operation, a defect tolerance operation, or any combination of the above operations. In one embodiment, an apparatus includes a system circuit, a shadow circuit, and an output joining circuit for soft-error resilience. The output joining circuit coupled to the output terminals of the system circuit and the shadow circuit includes at least an S-element for defect tolerance. In another embodiment, an apparatus includes a system circuit, a shadow circuit, a debug circuit, and an output joining circuit for soft-error correction. The output joining circuit coupled to the output terminals of the system circuit, the shadow circuit, and the debug circuit includes at least a V-element for defect tolerance.
    Type: Grant
    Filed: July 24, 2009
    Date of Patent: March 19, 2013
    Assignee: STARDFX Technologies, Inc.
    Inventors: Laung-Terng Wang, Nur A. Touba, Zhigang Jiang
  • Publication number: 20130067290
    Abstract: An integrated circuit comprises scan test circuitry and additional circuitry subject to testing utilizing the scan test circuitry. The scan test circuitry comprises transition control circuitry configured to detect transitions between binary logic levels in a scan test signal, and responsive to a number of detected transitions reaching a threshold, to limit further transitions associated with a remaining portion of the scan test signal. In an illustrative embodiment, the transition control circuitry limits further transitions associated with the remaining portion of the scan test signal by replacing at least part of the remaining portion of the scan test signal with a limited transition signal. The limited transition signal may be maintained at a constant binary logic level such that it has no transitions. By limiting the number of transitions associated with the scan test signal, the transition control circuitry serves to reduce integrated circuit power consumption during scan testing.
    Type: Application
    Filed: September 8, 2011
    Publication date: March 14, 2013
    Inventors: Ramesh C. Tekumalla, Prakash Krishnamoorthy
  • Patent number: 8397112
    Abstract: An embodiment of the invention provides system for detecting faults on a test chain. A circuit provides a test signal to an input of a test chain. The test chain includes a plurality of buffers connected in series. A register receives a logical value representing the output of the test chain. The register sends the logical value representing the output of the test chain to test circuitry where the value is observed.
    Type: Grant
    Filed: December 16, 2010
    Date of Patent: March 12, 2013
    Assignee: Texas Instruments Incorporated
    Inventors: Mujibur Rahman, Timothy Anderson, Alan Hales
  • Publication number: 20130061103
    Abstract: Embodiments related to identifying a reference scan cell locationally related to a fault condition exhibited by a scan chain in which the reference scan cell is included are provided. In one example, a method for identifying a reference scan cell is provided, the method comprising, in a capture mode, outputting combinational logic values to scan cells in the scan chain so that scan cell values for the scan cells are based on respective combinational logic values, the combinational logic values electrically connected with the scan chain. The example method further comprises, in a shift mode, sequentially determining the scan cell value for each scan cell, and identifying as the reference scan cell a scan cell last determined to be at an expected logical state for that scan cell.
    Type: Application
    Filed: September 2, 2011
    Publication date: March 7, 2013
    Applicant: TESEDA CORPORATION
    Inventors: Rich Ackerman, John Raykowski
  • Patent number: 8392768
    Abstract: In a memory test system with advance features for completed memory system, the hardware components are independently configured to generate versatile test patterns for performing a programmable-loading test, a real case test, and a write-feedback test. The write-feedback test is employed to independently test a memory controller which is embedded in an integrated circuit without communicating with the external SDRAM. In the integrated circuit verification stage, the memory test system supports for analyzing and distinguishing the problems inside or outside of the integrated circuit, and testing individual write and read commands.
    Type: Grant
    Filed: March 30, 2011
    Date of Patent: March 5, 2013
    Assignee: Sunplus Technology Co., Ltd.
    Inventors: Chia-Hao Lee, Ming-Chuan Huang
  • Patent number: 8392773
    Abstract: The present disclosure describes using the JTAG Tap's TMS and/or TCK terminals as general purpose serial Input/Output (I/O) Manchester coded communication terminals. The Tap's TMS and/or TCK terminal can be used as a serial I/O communication channel between; (1) an IC and an external controller, (2) between a first and second IC, or (3) between a first and second core circuit within an IC. The use of the TMS and/or TCK terminal as serial I/O channels, as described, does not effect the standardized operation of the JTAG Tap, since the TMS and/or TCK I/O operations occur while the Tap is placed in a non-active steady state.
    Type: Grant
    Filed: May 10, 2012
    Date of Patent: March 5, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20130055040
    Abstract: An output control scan flip-flop according to the present invention includes a first scan flip-flop that captures first data in a first mode and second data in a second mode in synchronization with a clock signal to output the data that is captured, a second scan flip-flop that captures the data output from the first scan flip-flop in the second mode in synchronization with a clock signal to output the data that is captured, and a gating circuit that generates the data output from the first scan flip-flop in the first mode as output data, and generates output data having a change rate of a logic value lower than a change rate of a logic value of the data output from the first scan flip-flop based on the data output from each of the first scan flip-flop and the second scan flip-flop in the second mode.
    Type: Application
    Filed: August 7, 2012
    Publication date: February 28, 2013
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Hayato KIMURA
  • Patent number: 8386863
    Abstract: In a scanning-capable latch circuit, main latch circuits respectively corresponding to data inputs D1 to D4 are connected in series and, except the last-stage main latch circuit, the scanning output from each main latch circuit becomes the scanning input for the subsequent main latch circuit; while the scanning output from the last-stage main latch circuit becomes the scanning input for a slave latch circuit. Hence, in the scanning-capable latch circuit used in an information processing apparatus, the circuit area can be reduced and scanning can be performed with a small-scale circuit.
    Type: Grant
    Filed: August 5, 2010
    Date of Patent: February 26, 2013
    Assignee: Fujitsu Limited
    Inventor: Tomohiro Tanaka
  • Patent number: 8381144
    Abstract: A system and method to select a gate to be modified as a test isolation gate is disclosed. In a particular embodiment, a method includes, after a layout phase of generating a design of a circuit, receiving timing information related to the design of the circuit. The method also includes selectively identifying at least one gate of a combinational logic portion of the design of the circuit to be modified to respond to a test enable signal, the at least one gate identified at least partially based on the timing information. The method also includes modifying the at least one gate. The at least one modified gate is fixed at a constant level during a test mode and is dynamically changeable during a functional mode of operation of the circuit.
    Type: Grant
    Filed: March 3, 2010
    Date of Patent: February 19, 2013
    Assignee: QUALCOMM Incorporated
    Inventors: Frederick C. Jen, Li Qiu, Hsiu C. Ma, Calvin V. Ho, Xiang M. Song, Hsiaohui Wu, Thomas E. Little
  • Patent number: 8378873
    Abstract: To decrease the burden of digital processing, provided is an AD conversion apparatus comprising a pattern generating section that, for each target bit specified one bit at a time moving downward in the output data, generates a pattern signal having a pulse width or number of pulses corresponding to a weighting of the target bit; an integrating section that integrates the pattern signals according to a judgment value for judging a value of the target bit each time a pattern signal is generated, and outputs a reference signal obtained by accumulating the integrated value of each pattern signal; a comparing section that, each time generation of a pattern signal is finished, compares the input signal to the reference signal; and an output section that outputs the output data to have values corresponding to the comparison results obtained after each generation of a pattern signal corresponding to a bit is finished.
    Type: Grant
    Filed: October 6, 2011
    Date of Patent: February 19, 2013
    Assignee: Advantest Corporation
    Inventor: Yasuhide Kuramochi
  • Publication number: 20130042158
    Abstract: A scan-flip flop circuit includes an input stage for providing a data signal to a data node, wherein the input stage includes first and second stacks of transistors devices coupled to the data node. The first stack receives a data input signal during a normal operation mode for input to the data node, and the second stack receiving a scan input signal during a scan test mode for input to the data node. The scan flip-flop circuit also includes a master latch coupled directly to the data node for latching the data signal from the input stage and outputting the data signal; a slave latch coupled to an output of the master latch for latching the output from the master latch and outputting the output; and a scan and clock control logic module. The scan and clock control logic module controls the first stack to input the data input signal to the data node during normal operation mode.
    Type: Application
    Filed: August 11, 2011
    Publication date: February 14, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Shang-Chih HSIEH, Chih-Chiang CHANG, Chang-Yu WU
  • Publication number: 20130036337
    Abstract: In an embodiment of the invention, a pipelined memory bank is tested by scanning test patterns into an integrated circuit. Test data is formed from the test patterns and shifted into a scan-in chain in the pipelined memory bank. The test data in the scan-in chain is launched into the inputs of the pipelined memory bank during a first clock cycle. Data from the outputs of the pipelined memory bank is captured in a scan-out chain during a second cycle where the time between the first and second clock cycles is equal to or greater than the read latency of the memory bank.
    Type: Application
    Filed: August 4, 2011
    Publication date: February 7, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Ramakrishnan Venkatasubramanian, Sumant Kale, Abhijeet Ashok Chachad
  • Publication number: 20130031434
    Abstract: A scan test circuit includes: a functional path, including: a D-type latch, for receiving an input and generating an output, the D-type latch including a feedback node; and a test path, including: a scan latch, for receiving a test input and generating an output. The scan test circuit also includes a tri-state inverter. The output of the test path is input to the feedback node of the D-type latch and also input to the tri-state inverter. The functional path is clocked by pulses generated by a pulse generator according to a system clock. The test path is clocked by a test clock generated according to a test enable signal and the system clock. When the test enable signal is enabled, the generation of the pulses is disabled.
    Type: Application
    Filed: July 12, 2012
    Publication date: January 31, 2013
    Inventors: Dimitry Patent, Kin Hooi Dia, Joseph Patrick Geisler
  • Publication number: 20130031433
    Abstract: A system and method for scan partitioning for testing an embedded logic circuit in an integrated circuit (IC) device is provided. One or more scan partitions in the embedded logic circuit are identified. Each scan partition includes one or more scan chains of scan registers. One or more interacting registers connecting scan registers of a first scan partition and scan registers of a second scan partition are identified and combined to form an interacting scan chain. The embedded logic circuit is tested by selectively activating the scan chains of the first and second scan partitions and the interacting scan chain.
    Type: Application
    Filed: July 27, 2011
    Publication date: January 31, 2013
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Himanshu KUKREJA, Deepak Agrawal
  • Patent number: 8365029
    Abstract: Digital circuits and methods for testing a digital circuit are disclosed. One embodiment provides a digital circuit having a first plurality of storage elements, and a second plurality of storage elements. The digital circuit is operable in a first operation mode and in a second operation mode. In the first operation mode, the storage elements of the first plurality of storage elements operate according to their intended use within the digital circuit and the storage elements of the second plurality of storage elements are connected in series. In the second operation mode, the first plurality of storage elements is connected to the second plurality of storage elements to allow data exchange between the first plurality of storage elements and the second plurality of storage elements.
    Type: Grant
    Filed: December 26, 2007
    Date of Patent: January 29, 2013
    Assignee: Infineon Technologies AG
    Inventor: Wilhard von Wendorff
  • Patent number: 8359502
    Abstract: A system comprises a plurality of components, scan chain selection logic coupled to the components, and override selection logic coupled to the scan chain selection logic. The scan chain selection logic selects various of the components to be members of a scan chain under the direction of a host computer. The override selection logic detects a change in the scan chain and, as a result, blocks the entire scan chain from progressing.
    Type: Grant
    Filed: August 1, 2012
    Date of Patent: January 22, 2013
    Assignee: Texas Instruments Incorporated
    Inventors: Gary L. Swoboda, Robert A. McGowan
  • Publication number: 20130019134
    Abstract: An arithmetic processor executes analysis processing for analyzing a probability that an output value of the scan flip-flop circuit after the capturing operation becomes a given logical state, and scan chain structure processing for structuring a scan chain for a plurality of scan flip-flop circuits having the same degree of probability that the output value after the capturing operation becomes the given logical state, on the basis of a result of the analyzing processing. The scan chain lower in a transition probability during the scan operation is formed so that a power consumption during a scan test can be reduced.
    Type: Application
    Filed: July 9, 2012
    Publication date: January 17, 2013
    Inventors: Hiroyuki IWATA, Jun MATSUSHIMA
  • Patent number: 8356218
    Abstract: A fault location estimation device includes: a faulty scan chain identification unit that identifies a faulty scan chain and its fault type based on result of operation verification test; a faulty scan FF narrowing unit that compares test result of the faulty scan chain with simulation result for determining a faulty scan FF range beginning at the location of a scan FF where both results differ; and a path trace narrowing unit that references logic circuit configuration information, signal line expected value, a failure-observed scan FF, and test result of a defective circuit to extract a scan FF on the faulty scan chain, which may be reached from a failure-observed scan FF observed on a normal scan chain by tracing back a failure propagation path while performing implication procedure for an input side, and thereby further narrows the faulty scan FF range determined by the faulty scan FF narrowing unit.
    Type: Grant
    Filed: May 27, 2010
    Date of Patent: January 15, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Yukihisa Funatsu
  • Patent number: 8356217
    Abstract: A storage circuit, an integrated circuit and a scanning method are provided. The storage circuit includes a first storage element, and a second storage element connected to an output of the first storage element. The storage circuit includes a first setting circuit that is configured to set data of a first logic value to the first storage element when a clear signal is applied, and a second setting circuit that is configured to set data of a second logic value to the second storage element and transmit the second logic value data to a different storage circuit when a second clock signal is in an off state and the clear signal is applied.
    Type: Grant
    Filed: May 25, 2010
    Date of Patent: January 15, 2013
    Assignee: Fujitsu Limited
    Inventors: Hitoshi Yamanaka, Masahiro Yanagida
  • Patent number: 8352815
    Abstract: The application discloses a circuit comprising at least one flip flop, said flip flop comprising: a master latch and a slave latch; a data signal input and a scan signal input arranged in parallel to each other and each input comprising a tristateable device; and a scan enable signal input, a functional clock signal input and a scan clock signal input; wherein: in response to a first predetermined value of said scan enable signal indicating a functional mode of operation, said scan input tristateable device is operable to isolate said scan input from said master latch, and said master latch is operable in response to said functional clock to receive data from said data input and to output data to said slave latch and said slave latch is operable in response to said functional clock to receive data from said master latch and to output data at said data output; and in response to a second predetermined value of said scan enable signal indicating a scan mode of operation said data input tristateable device is op
    Type: Grant
    Filed: October 18, 2006
    Date of Patent: January 8, 2013
    Assignee: ARM Limited
    Inventor: Marlin Frederick, Jr.
  • Patent number: 8352818
    Abstract: A method for generating a test pattern set for detecting small delay defects of an IC is disclosed. In one embodiment, the method includes: (1) generating a traditional delay fault pattern, (2) fault grading the traditional delay fault pattern for small delay defect coverage, (3) reporting faults detected by the fault grading and delay information associated with the detected faults, (4) determining which of the detected faults are timing-aware target faults employing the delay information and (5) generating timing-aware delay fault patterns for the timing-aware target faults.
    Type: Grant
    Filed: December 16, 2008
    Date of Patent: January 8, 2013
    Assignee: LSI Corporation
    Inventors: Sandeep Kumar Goel, Narendra B. Devta-Prasanna, Ritesh P. Turakhia
  • Patent number: 8352816
    Abstract: A system and method for sharing a communications link between multiple protocols is described. A system includes a communications interface configured to exchange information with other systems using at least one of a plurality of protocols; a protocol select register that stores a value that selects a protocol from among the plurality of protocols to become an active protocol; and a state machine accessible to the communications interface, the state machine used to control the exchange of information through the communications interface according to the active protocol. The active protocol is used by the communications interface to exchange information while the remaining protocols of the plurality of protocols remain inactive. The state machine sequences through a series of states that cause the communications interface to operate according to the active protocol, and that are designated as inert sequences under the remaining protocols.
    Type: Grant
    Filed: January 31, 2012
    Date of Patent: January 8, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Gary L. Swoboda
  • Publication number: 20130007546
    Abstract: A method of implementing integrated circuit device testing includes performing baseline testing of a first group of chips using a full set of test patterns, and for chip identified as failing, determining, a score for each test pattern in the full set. The score is indicative of an ability of the test pattern to uniquely identify a failing chip with respect to other test patterns. Following the baseline testing, streamlined testing on a second group of chips is performed, using a reduced set of the test patterns having highest average scores as determined by the baseline testing. Following the streamlined testing, full testing on a third group of chips is performed using the full set of test patterns, and updating the average score for each pattern. Further testing alternates between the streamlined testing and the full testing for additional groups of chips.
    Type: Application
    Filed: June 29, 2011
    Publication date: January 3, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Matthew S. Grady, Mark C. Johnson, Bradley D. Pepper, Dean G. Percy, Joseph C. Pranys
  • Publication number: 20130007547
    Abstract: An integrated circuit comprises scan test circuitry and additional circuitry subject to testing utilizing the scan test circuitry. The scan test circuitry comprises a plurality of scan chains, including at least one wrapper cell scan chain arranged between first and second circuitry cores of the additional circuitry, with the wrapper cell scan chain comprising a plurality of wrapper cells and being configurable to operate as a serial shift register in a scan shift mode of operation. At least one of the wrapper cells of the wrapper cell scan chain comprises a flip-flop having a throughput data path that is part of a scan shift path of the wrapper cell scan chain and not part of a functional path between the first and second circuitry cores. In an HDD controller embodiment, the first and second circuitry cores may comprise respective read channel and additional cores of a system-on-chip.
    Type: Application
    Filed: June 30, 2011
    Publication date: January 3, 2013
    Inventors: Ramesh C. Tekumalla, Partho Tapan Chaudhuri, Priyesh Kumar, Komal N. Shah
  • Publication number: 20120331358
    Abstract: A semiconductor integrated circuit is configured so that a transition scan test can be performed thereon. The semiconductor integrated circuit includes a plurality of logic circuit blocks having different operation frequencies; a clock supply unit for supplying a plurality of clock signals having frequencies corresponding to the operation frequencies of the logic circuit blocks from a clock supply source; a compression scan circuit including a plurality of scan chains formed of a plurality of flip-flop circuits, a pattern deployment circuit connected to the scan chains on an input side thereof, and a pattern compression circuit; and a clock control unit for controlling the clock supply unit to stop supplying the clock signals to specific ones of the flip-flop circuits of the scan chains when a capture operation is performed during a transition scan test.
    Type: Application
    Filed: June 12, 2012
    Publication date: December 27, 2012
    Inventor: Hiroaki ITOU
  • Patent number: 8339154
    Abstract: A method for testing a line including an input/output pin of a programmable logic circuit, said line including at least one individual line extending from the input/output pin to a peripheral element, said input/output pin being able to be either at a high logic level or at a low logic level opposite to the high logic level. The method includes, between an initial driving instant and a final driving instant, a step for driving the input/output pin in which a driving voltage is applied to the terminals of the input/output pin.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: December 25, 2012
    Assignee: Thales
    Inventor: Stéphane Bouyat
  • Patent number: 8341473
    Abstract: A microprocessor has a silicon area comprising a plurality of transistors implemented on the silicon area and a fault detection circuit occupying less than 20% of the silicon area and configured to detect faults at runtime in at least 80% of the plurality of transistors.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: December 25, 2012
    Assignee: The Regents of the University of Michigan
    Inventors: Valeria Bertacco, Todd Michael Austin, Smitha Shyam, Kypros Constantinides, Sujay Phadke
  • Patent number: 8341472
    Abstract: An apparatus in an integrated circuit for precluding the use of extended JTAG operations. The apparatus has a JTAG control chain, a feature fuse, a level sensor, and an access controller. The JTAG control chain is configured to enable/disable the extended JTAG operations. The feature fuse is configured to indicate whether the extended JTAG features are to be disabled. The level sensor is configured to monitor an external voltage signal, and configured to indicate that the external voltage signal is at an illegal level. The access controller is coupled to the feature fuse, the level sensor, and the JTAG control chain, and is configured to determine if the feature fuse is blown, and is configured to direct the JTAG control chain to disable the extended JTAG operations if the external voltage signal is at an illegal level regardless of whether the feature fuse is blown.
    Type: Grant
    Filed: June 25, 2010
    Date of Patent: December 25, 2012
    Assignee: VIA Technologies, Inc.
    Inventors: G. Glenn Henry, Dinesh K. Jain