Built-in Testing Circuit (bilbo) Patents (Class 714/733)
  • Patent number: 7844872
    Abstract: A semiconductor device capable of reducing a memory area of a test circuit required for storing fail-information is provided. In the test circuit, for determining right/wrong of information obtained by memory access, specific fail-information among pieces of fail-information sequentially obtained in response to wrong-determination result is held in a first memory section; and differences in serial two pieces of fail-information sequentially continuing from the specific fail-information are held in a second memory section. The test circuit, when it obtains differences based on pieces of fail-information sequentially obtained with a wrong-determination result at the time of holding the specific fail-information as a base point, sequentially adds subsequent differences to the specific fail-information to decompress subsequent pieces of fail-information.
    Type: Grant
    Filed: November 17, 2008
    Date of Patent: November 30, 2010
    Assignee: Renesas Electronics Corporation
    Inventor: Takeshi Bingo
  • Patent number: 7844871
    Abstract: A method for testing memory elements of an integrated circuit with an array built in self test (ABIST) comprises providing an ABIST interface to interface between an ABIST engine and a plurality of latches of a memory element under test, providing a multiplex (MUX) stage adjacent a scan input port of each latch, providing functional signal inputs to a data input port of the latches, setting the latches to an ABIST mode by activating an ABIST enable signal and delivering the ABIST enable signal to each of the latches, generating a plurality of ABIST test signals with the ABIST engine, applying the ABIST test signals in parallel to the scan input ports of the latches, determining whether one or more test patterns have been executed, and setting the latches to a normal run mode by deactivating the ABIST enable signal.
    Type: Grant
    Filed: November 11, 2008
    Date of Patent: November 30, 2010
    Assignee: International Business Machines Corporation
    Inventors: Uwe Brandt, Stefan Buettner, Werner Juchmes, Juergen Pille
  • Patent number: 7844867
    Abstract: A hierarchical memory includes a plurality of memory blocks, a common access bus coupled to the plurality of memory blocks, and a host bus interface coupled to the common access bus and configured to provide communication between an external host and the plurality of memory blocks over the common access bus. The memory further includes a Built-In Self Test (BIST) module coupled to the common access bus and configured to communicate with the plurality of memory blocks over the common access bus, and a test access interface coupled to the BIST main module and configured to receive test instructions and test data, to provide the test data to the BIST main module, and to configure the BIST main module in response to the test instructions. BIST operations are carried out in the memory blocks in response to BIST control signals and test data transmitted by the BIST module over the common access bus.
    Type: Grant
    Filed: December 19, 2007
    Date of Patent: November 30, 2010
    Assignee: NetLogic Microsystems, Inc.
    Inventors: Sudhakar Reddy, Gary Depelteau
  • Publication number: 20100299570
    Abstract: A TAP linking module (21, 51) permits plural TAPs (TAPs 1-4) to be controlled and accessed from a test bus (13) via a single TAP interface (20).
    Type: Application
    Filed: August 3, 2010
    Publication date: November 25, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20100299571
    Abstract: A TAP linking module (21, 51) permits plural TAPs (TAPs 1-4) to be controlled and accessed from a test bus (13) via a single TAP interface (20).
    Type: Application
    Filed: August 3, 2010
    Publication date: November 25, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Patent number: 7840865
    Abstract: A built-in self-test (BIST) circuit is disclosed that allows high fault coverage. Additionally, a method is disclosed for implementing the BIST circuit. In one aspect, the BIST circuit includes a plurality of scan chains that receive test patterns used in testing the integrated circuit. A pseudo random pattern generator provides test patterns to the scan chains. Weight select logic is positioned between the scan chains and the pseudo random pattern generator and controls the weightings of the test patterns that are loaded in the scan chains. In another aspect, the weight select logic can switch the weightings of the test patterns on a per-scan-cell basis. Thus, as the scan chains are loading, the weight select logic can effectively switch between test patterns being loaded into the scan chains.
    Type: Grant
    Filed: October 5, 2007
    Date of Patent: November 23, 2010
    Assignee: Mentor Graphics Corporation
    Inventors: Liyang Lai, Wu-Tung Cheng, Thomas Hans Rinderknecht
  • Publication number: 20100293426
    Abstract: An apparatus configured for a phase locked loop (PLL) built in self test (BIST) jitter measurement is described. The apparatus includes a phase detector. The phase detector produces a digital signal that describes a comparison between a reference signal and a feedback signal. The apparatus also includes a BIST controller. The BIST controller accumulates the digital signal with successive digital signals. The apparatus also includes a communication pin. The communication pin sends the accumulated signal to automatic test equipment (ATE) that determines whether the PLL is operating correctly based on the accumulated signal.
    Type: Application
    Filed: April 19, 2010
    Publication date: November 18, 2010
    Applicant: QUALCOMM INCORPORATED
    Inventor: Sachin D. Dasnurkar
  • Patent number: 7836342
    Abstract: This invention relates to a method, an apparatus, an electronic device, a system, and a computer program product for selecting at least one component out of at least one maintenance component and at least one non-maintenance component, wherein said at least one maintenance component and said at least one non-maintenance component represent electronic components arranged in an apparatus; and switching an external connector of said apparatus to said at least one selected component.
    Type: Grant
    Filed: September 15, 2006
    Date of Patent: November 16, 2010
    Assignee: Nokia Corporation
    Inventors: Antti Pirttimaki, Miikka Merilahti, Rolf Kuehnis, Jouni Hietamaki
  • Patent number: 7836329
    Abstract: A communication link protocol is provided for communicating between nodes of an interconnect system via a communication link. In one embodiment, the communication link protocol includes a direct memory access (DMA) command for writing a block of data from a local node to a remote node via the communication link; an administrative write command for writing data from a local node to registers in a remote node via the communication link for administrative purposes; a memory copy write command for writing a line of memory from a local node to a remote node via the communication link when any data is written into that line of memory; and a built in self test (BIST) command for testing the functionality of the communication link.
    Type: Grant
    Filed: December 29, 2000
    Date of Patent: November 16, 2010
    Assignee: 3PAR, Inc.
    Inventors: Ashok Singhal, David J. Broniarczyk, George R. Cameron, Jeff A. Price
  • Patent number: 7836371
    Abstract: An integrated circuit is described that includes a stored program processor for test and debug of user-definable logic plus external interface between the test/debug circuits and the component pins. The external interface may be via an existing test interface or a separate serial or parallel port. Test and debug circuits may contain scan strings that may be used to observe states in user-definable logic or be used to provide pseudo-random bit sequences to user-definable logic. Test and debug circuits may also contain an on-chip logic analyzer for capturing sequences of logic states in user-definable circuits. Test and debug circuits may be designed to observe states in user-definable circuits during the normal system operation of said user-definable circuits.
    Type: Grant
    Filed: June 16, 2006
    Date of Patent: November 16, 2010
    Inventors: Bulent Dervisoglu, Laurence H. Cooke, Vacit Arat
  • Patent number: 7831878
    Abstract: An IC includes an IEEE 1149.1 standard test access port (TAP) interface and an additional Off-Chip TAP interface. The Off-Chip TAP interface connects to the TAP of another IC. The Off Chip TAP interface can be selected by a TAP Linking Module on the IC.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: November 9, 2010
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 7831874
    Abstract: A reconfigurable high performance computer includes a stack of semiconductor substrate assemblies (SSAs). Some SSAs involve FPGA dice that are surface mounted, as bare dice, to a semiconductor substrate. Other SSAs involve memory dice that are surface mounted to a semiconductor substrate. Elastomeric connectors are sandwiched between, and interconnect, adjacent semiconductor substrates proceeding down the stack. Each SSA includes a local defect memory and a self-test mechanism. The self-test mechanism periodically tests the SSA and its interconnects, and stores resulting defect information into its local defect memory. The computer is configured to realize a user design and then is run. A defect is then detected. If the defect is determined to be in a part of the computer used in the realization of user design, then the computer is reconfigured not to use the defective part and running of the computer is resumed, otherwise the computer resumes running without reconfiguration.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: November 9, 2010
    Assignee: siXis, Inc.
    Inventor: Robert O. Conn
  • Publication number: 20100281319
    Abstract: Today many instances of IEEE 1149.1 Tap domains are included in integrated circuits (ICs). While all TAP domains may be serially connected on a scan path that is accessible external to the IC, it is generally preferred to have selectivity on which Tap domain or Tap domains are accessed. Therefore Tap domain selection circuitry may be included in ICs and placed in the scan path along with the Tap domains. Ideally, the Tap domain selection circuitry should only be present in the scan path when it is necessary to modify which Tap domains are selected in the scan path. The present disclosure describes a novel method and apparatus which allows the Tap domain selection circuitry to be removed from the scan path after it has been used to select Tap domains and to be replaced back into the scan path when it is necessary to select different Tap domains.
    Type: Application
    Filed: July 13, 2010
    Publication date: November 4, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Patent number: 7827018
    Abstract: A method and computer program for selecting circuit repairs using redundant elements with consideration of aging effects provides a mechanism for raising short-term and long-term performance of memory arrays beyond present levels/yields. Available redundant elements are used as replacements for selected elements in the array. The elements for replacement are selected by BOL (beginning-of-life) testing at a selected operating point that maximizes the end-of-life (EOL) yield distribution as among a set of operating points at which post-repair yield requirements are met at beginning-of-life (BOL). The selected operating point is therefore the “best” operating point to improve yield at EOL for a desired range of operating points or maximize the EOL operating range. For a given BOL repair operating point, the yield at EOL is computed. The operating point having the best yield at EOL is selected and testing is performed at that operating point to select repairs.
    Type: Grant
    Filed: November 16, 2007
    Date of Patent: November 2, 2010
    Assignee: International Business Machines Corporation
    Inventors: Chad A. Adams, Rajiv V. Joshi, Rouwaida N. Kanj, Sani R. Nassif
  • Publication number: 20100275076
    Abstract: A semiconductor integrated circuit includes: a memory; a logic circuit configured to output an address signal for an address of the memory; and an address control circuit connected with the logic circuit and an address terminal of the memory, and configured to receive a test signal to output one of the address signal from the logic circuit and an output signal having a preset logical value to the address terminal of the memory based on the test signal. The test signal indicates one of a user mode in which a transfer delay fault test is not performed and a test mode in which the transfer delay fault test is performed on a path from the logic circuit to the address terminal of the memory.
    Type: Application
    Filed: April 21, 2010
    Publication date: October 28, 2010
    Inventor: Masakazu MAEHARA
  • Publication number: 20100275079
    Abstract: A method comprises performing at least one zero-bit scan across an interface link. The at least one zero-bit scan defines a command window. The method further comprises an interface adapter counting a number of inert scans in the command window, and the number of inert scans defines a particular command or data. An inert scan results in no data being moved into or out of the interface adapter.
    Type: Application
    Filed: July 6, 2010
    Publication date: October 28, 2010
    Applicant: Texas Instruments Incorporated
    Inventor: Gary L. Swoboda
  • Patent number: 7822567
    Abstract: A method includes defining a hierarchy of test routines in a test program for testing integrated circuit devices. A first device is tested at a first screening level in the hierarchy. The first device is tested at a second detailed level in the hierarchy responsive to the first device failing the testing at the first screening level.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: October 26, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kevin R. Lensing, Michael G. McIntyre
  • Patent number: 7823037
    Abstract: An optimized JTAG interface is used to access JTAG Tap Domains within an integrated circuit. The interface requires fewer pins than the conventional JTAG interface and is thus more applicable than conventional JTAG interfaces on an integrated circuit where the availability of pins is limited. The interface may be used for a variety of serial communication operations such as, but not limited to, serial communication related integrated circuit test, emulation, debug, and/or trace operations.
    Type: Grant
    Filed: December 17, 2009
    Date of Patent: October 26, 2010
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 7823034
    Abstract: An electronic device includes a scan-based circuit that includes a combinational decompressor, a combinational compressor, scan chains, and logic which typically includes a number of storage elements. Cycle time normally needed to shift data into or out of a scan cell to/from an external interface of the electronic device is reduced by use of one or more additional storage element(s) located between the external interface and one of the combinational elements (decompressor/compressor). The one or more additional storage element(s) form a pipeline that shifts compressed data in stages, across small portions of an otherwise long path between the external interface and one of the combinational elements. Staged shifting causes the limit on cycle time to drop to the longest time required to traverse a stage of the pipeline. The reduced cycle time in turn enables a corresponding increase in shift frequency.
    Type: Grant
    Filed: April 13, 2007
    Date of Patent: October 26, 2010
    Assignee: Synopsys, Inc.
    Inventors: Peter Wohl, John A Waicukauski, Frederic J Neuveux
  • Patent number: 7821280
    Abstract: A method and system for monitoring and compensating the performance of an operational circuit is provided. The system includes one or more integrated circuit chips and a controller. Each integrated circuit chip includes one or more operational circuits, each operational circuit having at least one controllable circuit parameter. Each integrated circuit chip also includes a process monitor module at least partially constructed thereon. The controller is coupled to each process monitor module and to each operational circuit. The controller includes logic for evaluating the performance of an operational circuit based on data obtained from process monitor module and operational circuit related data stored in a memory. Based on the evaluation, the controller determines whether any deviations from desired or optimal performance of the circuit exist. If deviations exist, the controller generates a control signal to initiate adjustments to the operational circuit to compensate for the deviations.
    Type: Grant
    Filed: April 25, 2008
    Date of Patent: October 26, 2010
    Assignee: Broadcom Corporation
    Inventors: Lawrence M. Burns, Leonard Dauphinee, Ramon A. Gomez, James Y. C. Chang
  • Patent number: 7818638
    Abstract: Disclosed are methods, systems and devices, such as a device including a data location, a quantizing circuit coupled to the data location, and a test module coupled to the quantizing circuit. In one or more embodiments, the test module can include a linear-feedback shift register.
    Type: Grant
    Filed: June 15, 2007
    Date of Patent: October 19, 2010
    Assignee: Micron Technology, Inc.
    Inventor: R. Jacob Baker
  • Patent number: 7818645
    Abstract: Systems, methods, and a computer program are disclosed. One embodiment comprises a compiler for developing verification tests of an integrated circuit. The compiler comprises an interface and a built-in self-test (BIST) emulator. The interface includes an input and an output. The interface receives and forwards operator-level instructions to the BIST emulator, which is coupled to the output. The BIST emulator simulates the operation of a BIST module within the integrated circuit.
    Type: Grant
    Filed: July 20, 2005
    Date of Patent: October 19, 2010
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Elias Gedamu, Denise Man, Eric Richard Stubblefield, Oguz Ertekin
  • Publication number: 20100262879
    Abstract: A mechanism is provided for internally controlling and enhancing logic built-in self test in a multiple core microprocessor. The control core may use architectural support for scan and external scan communication (XSCOM) to independently test the other cores while adjusting their frequency and/or voltage. A program loaded onto the control core may adjust the frequency and configure the LBIST to run on each of the cores under test. Once LBIST has completed on a core under test, the control core's program may evaluate the results and decide a next test to run for that core. For isolating failing latch positions, the control core may iteratively configure the LBIST mask and sequence registers on the core under test to determine the location of the failing latch. The control core may control the LBIST stump masks to isolate the failure to a particular latch scan ring and then position within that ring.
    Type: Application
    Filed: April 14, 2009
    Publication date: October 14, 2010
    Applicant: International Business Machines Corporation
    Inventors: Michael S. Floyd, Joshua D. Friedrich, Robert B. Gass, Norman K. James
  • Patent number: 7814386
    Abstract: A test system in an integrated circuit includes at least one boundary scan cell. The boundary scan cell includes a first storage element and a second storage element connected in series with the first storage element. The boundary scan cell also includes test logic configured to provide a test completion signal indicative of completion of a respective test based on a comparison of an output of the first storage element relative to test value (TVALUE). The output of the first storage element is provided to the input of the second storage element unchanged during a first operating state and, depending on the test completion signal, an inverted version of the output of the first storage element can be provided to the input of the second storage element during a second operating state. A bi-directional element is connected to receive the output of the second storage element and to feed the output of the second storage element back to an input of the first storage element.
    Type: Grant
    Filed: May 8, 2008
    Date of Patent: October 12, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: John Joseph Seibold, Vinay B. Jayaram, Elie Torbey
  • Patent number: 7814377
    Abstract: In a non-volatile memory system, test data may be retrieved by means of a circuit without the help of firmware. The circuit is triggered into action when it detects an abnormality in the processor or host interface. In such event, it formats the self test or status signals from the various blocks in the non-volatile memory system controller and sends a test message to the outside world without the assistance of the system processor or interface controller. When implemented in memory systems with multiple data lines, only one of the data lines may be utilized for such purpose, thereby allowing the testing to be performed while the system is still performing data transfer. Preferably, the system includes the test mode communication controller, which can select between a test channel and a host interface channel for the test message transfer so that the same testing may be performed when the memory system is in the test package as well as in an encapsulated package.
    Type: Grant
    Filed: July 9, 2004
    Date of Patent: October 12, 2010
    Assignee: SanDisk Corporation
    Inventors: Simon Stolero, Micky Holtzman, Yosi Pinto, Reuven Elhamias, Meiri Azari
  • Patent number: 7814385
    Abstract: A built-in self-test (BIST) device tests multiple embedded memories of different characteristics. The BIST includes a BIST controller, a delay generator, multiple interface modules, and a memory wrapper. The BIST controller generates an initialization sequence and a memory test algorithm. The delay generator provides a delay of an expected data, a valid signal, a BBAD signal, a BEND signal, and a BFAIL signal. The multiple interface modules provide signal pipelining for multiple memories through a bus. The bus carries signals form the BIST device to multiple memories and vice-versa. The memory wrapper decodes a selected memory for decompressing a memory data signal generated by said BIST device and further compresses a memory output signal.
    Type: Grant
    Filed: August 30, 2007
    Date of Patent: October 12, 2010
    Assignee: STMicroelectronics Pvt. Ltd.
    Inventor: Swapnil Bahl
  • Publication number: 20100257418
    Abstract: A TAP linking module (21, 51) permits plural TAPs (TAPs 1-4) to be controlled and accessed from a test bus (13) via a single TAP interface (20).
    Type: Application
    Filed: June 17, 2010
    Publication date: October 7, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Patent number: 7810006
    Abstract: A testing system for a device under test (DUT) includes a test parameter-generating device and a platform module. The test parameter-generating device stores test information, and is operable so as to execute a test algorithm, so as to generate a transmission signal upon execution of the test algorithm, and so as to generate a test environment with reference to the transmission signal. The platform module is operable so as to conduct testing of the DUT using the test information stored in the test parameter-generating device under the test environment generated by the test parameter-generating device.
    Type: Grant
    Filed: January 14, 2008
    Date of Patent: October 5, 2010
    Assignee: Emerging Display Technologies Corp.
    Inventors: Cheng-Liang Yao, Ming-Tsung Hsia
  • Publication number: 20100251048
    Abstract: A method implemented in a test system comprises a test debug system and a target system, said target system comprising a test access port that functions according to a plurality of states and also comprising an adapter. The method comprises the adapter transferring data to the test debug system while the test access port remains in a predefined state. The predefined state comprises a state in which no scans occur.
    Type: Application
    Filed: June 14, 2010
    Publication date: September 30, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Gary L. Swoboda
  • Patent number: 7805650
    Abstract: A semiconductor integrated circuit has a terminal to input a debug signal which specifies a debug mode, a reset circuit to generate a reset signal when a power is turned ON, and a debug mode control circuit to output a control signal which causes a shift to the debug mode based on the debug signal and the reset signal. The debug mode control circuit includes a latch circuit to generate a first signal by latching the debug signal, and a register circuit to generate a second signal when written with a permit code, and the control signal is generated based on the first signal and the second signal.
    Type: Grant
    Filed: September 11, 2008
    Date of Patent: September 28, 2010
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Takashi Sato
  • Patent number: 7805651
    Abstract: A method is disclosed for the automated synthesis of phase shifters—circuits used to remove effects of structural dependencies featured by pseudo-random test pattern generators driving parallel scan chains. Using a concept of duality, the method relates the logical states of linear feedback shift registers (LFSRs) and circuits spacing their inputs to each of the output channels. The method generates a phase shifter network balancing the loads of successive stages of LFSRs and satisfying criteria of reduced linear dependency, channel separation and circuit complexity.
    Type: Grant
    Filed: December 8, 2009
    Date of Patent: September 28, 2010
    Inventors: Janusz Rajski, Jerzy Tyszer, Nagesh Tamarapalli
  • Patent number: 7802159
    Abstract: A logic built-in self-test (LBIST) module and a method of online system testing. In one embodiment, the LBIST module includes: (1) first and second data sources selectable to provide alternative respective first and second data to at least one scan chain and (2) a scan clock modifier associated with the first and second data sources and configured to drive the at least one scan chain with a selectively aperiodic modified scan clock signal.
    Type: Grant
    Filed: July 9, 2008
    Date of Patent: September 21, 2010
    Assignee: LSI Corporation
    Inventors: Sreejit Chakravarty, Narendra Devta-Prasanna, Fan Yang
  • Patent number: 7802154
    Abstract: A method and system for testing a semiconductor memory device using low-speed test equipment. The method includes providing a high-frequency test pattern by grouping a command signal and an address signal into command signal groups and address signal groups each corresponding to L cycles of a clock signal output from automatic test equipment (ATE) where L is a natural number. A valid command signal and a valid address signal, which are not in an idle state, are extracted from each of a plurality of command signal groups and each of a plurality of address signal groups. The valid command signal and the valid address signal are compressed into signals having a length corresponding to 1/M (M is a natural number larger than 1) of the cycle of the clock signal where M is a natural number larger than 1. A position designating signal indicating the positions of the valid command signal and the valid address signal in each command signal group and each address signal group is generated.
    Type: Grant
    Filed: October 30, 2007
    Date of Patent: September 21, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Hwan-wook Park
  • Patent number: 7802160
    Abstract: A test apparatus that tests a device under test is provided, including a driver section that supplies a test signal to a corresponding pin of the device under test, a judgment section that makes a judgment concerning pass/fail of the device under test based on the response signal output by the device under test in response to the test signal, a voltage measuring section that detects a DC voltage of the signal output by the driver section, and an output side adjusting section that adjusts a duty ratio of the signal output by the driver section according to the DC voltage detected by the voltage measuring section.
    Type: Grant
    Filed: December 6, 2007
    Date of Patent: September 21, 2010
    Assignee: Advantest Corporation
    Inventor: Shigeki Takizawa
  • Patent number: 7797600
    Abstract: A method and apparatus for loading a ring of non-scan latches for a logic built-in self-test. A logic built-in self-test value is loaded into a scannable latch from the logic built-in self-test. An override control signal is asserted in response to loading the logic built-in self-test value into the scannable latch. A non-scan latch is forced to load the logic built-in self-test value from the scannable latch in response to asserting the override control signal. Logic paths in the ring of non-scan latches are exercised. The non-scan latch is part of the logical paths. The test results are captured from the logic paths and the test results are compared against expected test results to determine if the logic paths within the ring of non-scan latches are functioning properly.
    Type: Grant
    Filed: June 13, 2008
    Date of Patent: September 14, 2010
    Assignee: International Business Machines Corporation
    Inventors: Louis B. Bushard, Nathan P. Chelstrom, Naoki Kiryu, David J. Krolak
  • Patent number: 7797591
    Abstract: A semiconductor integrated circuit has a memory circuit having memory cells, a first register, a second register, a register selection circuit having an input to which an output of the first register and an output of the second register are connected, a memory bypass circuit which is located between a first switching circuit and a second switching circuit, and connected to the inputs and the outputs of the memory circuit. The register selection circuit is switched to the output signals of the first register when performing testing by way of the memory circuit, and switched to output signals of the second register when performing testing by way of the memory bypass circuit.
    Type: Grant
    Filed: July 15, 2009
    Date of Patent: September 14, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tetsu Hasegawa, Chikako Tokunaga
  • Patent number: 7797596
    Abstract: A method for testing an integrated circuit implemented in an electronic system. The method includes placing an integrated circuit (or portion thereof) that is implemented in an operational system (e.g., in a computer system) in an offline status. An electrical parameter of the integrated system (e.g., a voltage, clock frequency, etc.) is set, and a built-in self-test (BIST) is conducted. Any failures that occur during the BIST are recorded. Testing is then repeated for each of a plurality of predetermined values of the electrical parameter, recording any failures that occur. Once testing is complete a failure rate/range is determined for each of the predetermined values.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: September 14, 2010
    Assignee: Oracle America, Inc.
    Inventors: Anand Dixit, Raymond A. Heald, Steven R. Boyle
  • Patent number: 7797594
    Abstract: A method and apparatus for testing a three dimensional (3D) memory including a static array and an active array. The method is performed by a memory built-in self-test (MBIST) controller, and includes writing data to the static array, transferring data from the static array to the active array, and reading data from the active array. The method further includes, in a plurality of subsequent cycles, writing data to the static array; transferring data from static array to the active array, and reading data from the active array, wherein said writing data for each subsequent cycle is performed concurrently with reading data for a previous cycle.
    Type: Grant
    Filed: July 5, 2007
    Date of Patent: September 14, 2010
    Assignee: Oracle America, Inc.
    Inventors: Ishwardutt Parulkar, Sriram Anandakumar, Krishna B. Rajan
  • Patent number: 7795894
    Abstract: A multiple integrated circuit arrangement within a single package is provided. The arrangement includes a set of dies, which is encapsulated within the single package. The arrangement also includes a built-in-self-test (BIST) arrangement, which is at least partly encapsulated within the single package. The BIST arrangement is configured for at least performing a test on at least a first die of the set of dies.
    Type: Grant
    Filed: December 17, 2007
    Date of Patent: September 14, 2010
    Assignee: Wi2Wi, Inc.
    Inventor: Dhiraj Sogani
  • Publication number: 20100229059
    Abstract: The present disclosure describes using the JTAG Tap's TMS and/or TCK terminals as general purpose serial Input/Output (I/O) Manchester coded communication terminals. The Tap's TMS and/or TCK terminal can be used as a serial I/O communication channel between; (1) an IC and an external controller, (2) between a first and second IC, or (3) between a first and second core circuit within an IC. The use of the TMS and/or TCK terminal as serial I/O channels, as described, does not effect the standardized operation of the JTAG Tap, since the TMS and/or TCK I/O operations occur while the Tap is placed in a non-active steady state.
    Type: Application
    Filed: May 18, 2010
    Publication date: September 9, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Patent number: 7793184
    Abstract: A method, system and computer readable medium for on-chip testing is presented. In one embodiment, the method, system or computer readable medium includes identifying which LBIST channels of a plurality of LBIST channels do not contribute to a particular test and excluding from that particular test each LBIST channel that does not contribute to that particular test.
    Type: Grant
    Filed: January 11, 2007
    Date of Patent: September 7, 2010
    Assignee: International Business Machines Corporation
    Inventor: Steven M. Douskey
  • Patent number: 7793186
    Abstract: An integrated circuit (IC), a method of testing an IC and a method of reading test results from an IC containing built-in self-test (BIST) circuitry. In one embodiment, the IC includes: (1) an external test bus interface, (2) read-write memory coupled to the external test bus interface, (3) other circuitry and (4) BIST circuitry, coupled to the external test bus interface, the read-write memory and the other circuitry and configured to test the read-write memory to identify a good data block therein, store in a predetermined data block in the read-write memory multiple instances of a pointer to the good data block, conduct a test of at least the other circuitry and store at least some results of the test in the good data block.
    Type: Grant
    Filed: May 20, 2010
    Date of Patent: September 7, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Cloves R. Cleavelin, Andrew Marshall, Stephanie W. Butler, Howard L. Tigelaar
  • Patent number: 7793173
    Abstract: Memory array built in self testing utilizing including a simple data history table. The table is used to track failing locations observed during any level of assembly test of processor or logic semiconductor chips where the chips contain SRAM macros with redundant elements for failure relief.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: September 7, 2010
    Assignee: International Business Machines Corporation
    Inventors: Tom Y. Chang, William V. Huott, Thomas J. Knips, Donald W. Plass
  • Patent number: 7793171
    Abstract: Embodiments of the present invention provide a protocol tester for performing a protocol test, said protocol tester exhibiting an input for the feeding in of data, a protocol decoding device for the decoding of data, and an output for providing the decoded data, the protocol tester also comprising a device for measuring the bit error rate. A corresponding method for performing a protocol test is also provided.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: September 7, 2010
    Assignee: Tektronix, Inc.
    Inventor: Juergen Forsbach
  • Patent number: 7793185
    Abstract: The invention relates to an integrated circuit for a data transmission system comprising a) a plurality of functional units, b) a TAP controller, according to IEEE 1149, having a JTAG interface, and c) a test unit for testing the functionality of the functional units, whereby the test unit has at least two operating modes and at least one gate terminal for switching between the operating modes and is designed to connect circuit points, assigned to a specific operating mode, of the functional units to terminals of the integrated circuit, when the test unit is operated in the specific operating mode. According to the invention, the at least one gate terminal of the test unit is connected to the TAP controller and the integrated circuit is designed to switch between the operating modes depending on the internal states of the TAP controller. The invention relates furthermore to a receiving device of a data transmission system.
    Type: Grant
    Filed: September 5, 2007
    Date of Patent: September 7, 2010
    Assignee: Atmel Automotive GmbH
    Inventor: Richard Geissler
  • Publication number: 20100223519
    Abstract: A system and method for sharing a communications link between multiple protocols is described. A system includes a communications interface configured to exchange information with other systems using at least one of a plurality of protocols; a protocol select register that stores a value that selects a protocol from among the plurality of protocols to become an active protocol; and a state machine accessible to the communications interface, the state machine used to control the exchange of information through the communications interface according to the active protocol. The active protocol is used by the communications interface to exchange information while the remaining protocols of the plurality of protocols remain inactive. The state machine sequences through a series of states that cause the communications interface to operate according to the active protocol, and that are designated as inert sequences under the remaining protocols.
    Type: Application
    Filed: May 10, 2010
    Publication date: September 2, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Gary L. Swoboda
  • Publication number: 20100223518
    Abstract: A system is described having a JTAG diagnostic unit and a serial wire diagnostic unit. A watcher unit is connected to a data connection shared between the diagnostic units. Special patterns detected upon the shared data connection serve to switch between diagnostic modes with respective ones of the diagnostic units becoming active.
    Type: Application
    Filed: January 29, 2010
    Publication date: September 2, 2010
    Inventors: Peter Logan Harrod, Edmond John Simon Ashfield, Thomas Sean Houlihane, Paul Kimelman, Simon John Craske, Michael John Williams
  • Patent number: 7788563
    Abstract: The present invention concerns an apparatus including a modular memory and an address locator circuit. The modular memory may be configured to generate a current address signal, a first data output signal and a second data output signal in response to a first port address signal, a second port address signal, an initial state parameter, a target state parameter, a first port enable signal, a second port enable signal, a write enable signal, a data input signal, a first location signal and a second location signal. The address locator circuit may be configured to generate the first location signal and the second location signal in response to the first port address signal, the second port address signal and the current address signal.
    Type: Grant
    Filed: June 20, 2008
    Date of Patent: August 31, 2010
    Assignee: LSI Corporation
    Inventors: Alexandre E. Andreev, Anatoli A. Bolotov, Ranko Scepanovic
  • Publication number: 20100218055
    Abstract: A method and circuit for implementing substantially perfect array access time tracking with Logic Built In Self Test (LBIST) diagnostics of dynamic memory array and random logic, and a design structure on which the subject circuit resides are provided. The dynamic memory array is initialized to a state for the longest read time for each bit and the dynamic memory array is forced into a read only mode. During LBIST diagnostics with the array in the read only mode, the array outputs are combined with the data inputs to provide random switching data on the array outputs to the random logic.
    Type: Application
    Filed: February 26, 2009
    Publication date: August 26, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Todd Alan Christensen, Peter Thomas Freiburger, Jesse Daniel Smith
  • Patent number: RE41659
    Abstract: Methods for built-in self-test (BIST) testing and circuitry for testing a content addressable memory (CAM) core are provided. In one example, the BIST circuit includes a search port for enabling searches of the CAM core and a maintenance port for enabling addressing of locations of the CAM core. The maintenance port includes writing logic for writing to locations of the CAM core. The BIST circuit also includes a BIST controller for coordinating BIST testing of the CAM core. The BIST controller is capable of performing a BIST search on the CAM core on every cycle through the search port and performing a BIST write at selected times to the CAM core. Thus, the BIST write is capable of being performed in a same cycle as the BIST search permitting at-speed BIST. The BIST controller, performs BIST testing in a manner that limits the number of rows in the CAM that match at any given cycle, thus allowing a low-power BIST operation.
    Type: Grant
    Filed: August 19, 2005
    Date of Patent: September 7, 2010
    Inventors: Sanjay Gupta, Randall Gibson