Built-in Testing Circuit (bilbo) Patents (Class 714/733)
  • Patent number: 8056025
    Abstract: An access pad is used to provide access to a functional block of an integrated circuit (IC) device. The access pad is formed using dummy metal in an open space in a metallization level that is between a top metallization level and a base level on which the functional block is formed in the IC device. The access pad at the metallization level provides a contact to access an underlying circuit of the functional block so that the functional integrity of the functional block of the IC device can be verified during probing.
    Type: Grant
    Filed: February 21, 2008
    Date of Patent: November 8, 2011
    Assignee: Altera Corporation
    Inventors: Vijay Chowdhury, Che Ta Hsu, Ada Yu
  • Patent number: 8055962
    Abstract: Special test circuitry in an IC for wafer level testing selectively connects the specialized test circuitry to the functional circuitry during wafer test. Following wafer test the special test circuitry is electrically isolated from the functional circuitry and power supplies such that it does not load functional circuit signals nor consume power.
    Type: Grant
    Filed: September 16, 2010
    Date of Patent: November 8, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Richard L. Antley, Lee D. Whetsel
  • Patent number: 8055961
    Abstract: A semiconductor device test circuit includes a data producing unit to produce first test data to be fed into a semiconductor device, and expected value data; a first data retaining unit to retain the first test data, and feed the first test data into the semiconductor device; a second data retaining unit to retain the expected value data; a comparison unit to compare output data outputted through the first data retaining unit and the expected value data outputted from the second data retaining unit to supply data indicating comparison result between the output data and the expected value data; and a switching unit to switch the data fed into the second data retaining unit between the expected value data and the output data, wherein the first data retaining unit and the second data retaining unit form parts of a scan chain into which second test data may externally be fed.
    Type: Grant
    Filed: June 15, 2009
    Date of Patent: November 8, 2011
    Assignee: Fujitsu Limited
    Inventors: Kenji Goto, Kazuhide Yoshino
  • Patent number: 8055968
    Abstract: A panel driving circuit that produces a panel test pattern and a method of testing a panel are provided. The driving circuit includes a pattern generation unit and a selection unit. The pattern generation unit responds to a system clock and produces pattern test data and pattern test signals. The selection unit responds to a test signal and selects and outputs either (a) the pattern test data and the pattern test signals that are outputted from the pattern generation unit, or (b) the pattern test data and pattern test signals that are directly applied from the outside. The driving circuit and the method of the panel test generates the panel test data, the horizontal synchronizing signal, the vertical synchronizing signal, and the data activating signal within the driving circuit using a system clock so that the testing of the panel can be carried out without using a separate test device.
    Type: Grant
    Filed: April 13, 2011
    Date of Patent: November 8, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Won-Sik Kang, Jae-Goo Lee
  • Patent number: 8055960
    Abstract: A computing system is provided which includes a processor having a cache memory. The cache memory includes a plurality of independently configurable subdivisions, each subdivision including a memory array. A service element (SE) of the computing system is operable to cause a built-in-self-test (BIST) to be executed to test the cache memory, the BIST being operable to determine whether any of the subdivisions is defective. When it is determined that one of the subdivisions of the cache memory determined defective by the BIST is non-repairable, the SE logically deletes the defective subdivision from the system configuration, and the SE is operable to permit the processor to operate without the logically deleted subdivision. The SE is further operable to determine that the processor is defective when a number of the defective subdivisions exceeds a threshold.
    Type: Grant
    Filed: February 7, 2008
    Date of Patent: November 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: William V Huott, David J Lund, Kenneth H Marz, Bryan L Mechtly, Pradip Patel
  • Patent number: 8055966
    Abstract: A multiple integrated circuit arrangement within a single package is provided. The multiple integrated circuit arrangement includes a set of electronic components, which includes at least a set of dies. The first die of the set of dies is coupled to a first electronic component of the set of electronic components, wherein the first electronic component is not the first die. The arrangement includes a built-in-self-test (BIST) arrangement, which is at least partly encapsulated within the single package, wherein the BIST arrangement is configured for at least testing the first die of the set of dies. The arrangement also includes a built-in-self-repair (BISR) arrangement, which is at least partly encapsulated within the single package, wherein the BISR arrangement is configured for at least repairing the multiple integrated circuit arrangement.
    Type: Grant
    Filed: December 17, 2007
    Date of Patent: November 8, 2011
    Assignee: Wi2Wi, Inc.
    Inventor: Dhiraj Sogani
  • Patent number: 8051350
    Abstract: A built-in self test circuit includes a pattern generator, an elastic buffer, a symbol detector, and a comparison unit. A pattern generator generates a first test pattern to test a port under test and then a result pattern is gotten and stored in the elastic buffer. The symbol detector detects if a starting symbol exists in the test result pattern. If it exists, a second test pattern is generated to be compared with the test result pattern. As a result, a reliability of data transmission of the port under test is determined.
    Type: Grant
    Filed: December 30, 2008
    Date of Patent: November 1, 2011
    Assignee: Via Technologies Inc.
    Inventor: Wayne Tseng
  • Patent number: 8051342
    Abstract: A semiconductor memory device including: a memory cell array including a plurality of word lines, a plurality of bit lines, and memory cells arranged in portions where the plurality of word lines and the plurality of bit lines intersect with each other; a plurality of data bus lines connected to the plurality of bit lines; a plurality of sense amplifiers individually connected to the plurality of data bus lines and configured for detecting memory data stored in corresponding memory cells based on values of currents that are generated in the individual data bus lines in accordance with the memory data.
    Type: Grant
    Filed: October 10, 2008
    Date of Patent: November 1, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Osamu Iioka
  • Patent number: 8051343
    Abstract: Example embodiments relate to a method and system of testing a memory module having the process of receiving single ended input signals via differential input terminals through which differential pairs of packet signals may be received from a testing equipment, wherein a number of terminals of the testing equipment may be different from a number of terminals of the memory module, and testing memory chips of the memory module based on the single ended input signals.
    Type: Grant
    Filed: October 22, 2010
    Date of Patent: November 1, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung-Man Shin, Byung-Se So, Seung-Jin Seo, You-Keun Han
  • Patent number: 8051349
    Abstract: A test architecture accesses IP core test wrappers within an IC using a Link Instruction Register (LIR). An IEEE P1500 standard is in development for providing test access to these individual cores via a test structure called a wrapper. The wrapper resides at the boundary of the core and provides a way to test the core and the interconnections between cores. The test architecture enables each of the plural wrappers in the IC, including wrappers in cores embedded within other cores, with separate enable signals.
    Type: Grant
    Filed: February 16, 2011
    Date of Patent: November 1, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20110264972
    Abstract: Provided are a self-diagnosis system and a test circuit determination method that are capable of determining normality of a test circuit which diagnoses a test target circuit. A self-diagnosis system according to an aspect of the present invention includes a test circuit including first and second diagnosis controllers which determine normality of a test target circuit by using an execution result of a test pattern in the test target circuit; and a test circuit determination unit which determines normality of the test circuit by comparing a normality determination result of the test target circuit output from the first diagnosis controller with a normal determination result of the test target circuit output from the second diagnosis controller.
    Type: Application
    Filed: April 14, 2011
    Publication date: October 27, 2011
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Masafumi MATSUO
  • Patent number: 8046652
    Abstract: A test method for an ASIC uses an embedded processor in the ASIC to execute test routines from an embedded memory or an external memory. During ASIC production, the test routines can comprehensively test of the blocks of the ASIC without a complicated test pattern from test equipment. The test routines can also perform power-up tests in systems or end products containing the ASIC. Test selection, activation, and result output can be implement using a few terminals of the ASIC.
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: October 25, 2011
    Assignee: Marvell International Tecnology Ltd.
    Inventors: Richard D. Taylor, Mark D. Montierth, Melvin D. Bodily, Gary D. Zimmerman, John D. Marshall
  • Patent number: 8042011
    Abstract: One embodiment provides a runtime programmable system which comprises methods and apparatuses for testing a multi-port memory device to detect a multi-port memory fault, in addition to typical single-port memory faults that can be activated when accessing a single port of a memory device. More specifically, the system comprises a number of mechanisms which can be configured to activate and detect any realistic fault which affects the memory device when two simultaneous memory access operations are performed. During operation, the system can receive an instruction sequence, which implements a new test procedure for testing the memory device, while the memory device is being tested. Furthermore, the system can implement a built-in self-test (BIST) solution for testing any multi-port memory device, and can generate tests targeted to a specific memory design based in part on information from the instruction sequence.
    Type: Grant
    Filed: April 28, 2009
    Date of Patent: October 18, 2011
    Assignee: Synopsys, Inc.
    Inventors: Michael Nicolaidis, Silmane Boutobza
  • Patent number: 8041553
    Abstract: A computer-based system for testing a circuit design for implementation within an integrated circuit device can include a design application (205) providing simulation instructions for testing a circuit design and a simulation driver (225) receiving the simulation instructions and translating the simulation instructions into control protocol instructions specifying operations of an integrated circuit control protocol. The system can include a simulation environment (240). The simulation environment can include a communication module (245) communicating with the simulation driver, a simulation cable driver (250) receiving the control protocol instructions from the simulation driver via the communication module, and a control module (255). The simulation cable driver further can translate the control protocol instructions into signaling information corresponding to the integrated circuit control protocol.
    Type: Grant
    Filed: February 29, 2008
    Date of Patent: October 18, 2011
    Assignee: Xilinx, Inc.
    Inventors: Adrian M. Hernandez, Michael E. Darnall
  • Patent number: 8042012
    Abstract: Disclosed are methods, systems and devices, such as a device including a data location, a quantizing circuit coupled to the data location, and a test module coupled to the quantizing circuit. The quantizing circuit may include an analog-to-digital converter, a switch coupled to the memory element and a feedback signal path coupled to the output of the analog-to-digital converter and to the switch.
    Type: Grant
    Filed: October 13, 2010
    Date of Patent: October 18, 2011
    Assignee: Micron Technology, Inc.
    Inventor: R. Jacob Baker
  • Patent number: 8037386
    Abstract: A TAP linking module (21, 51) permits plural TAPs (TAPs 1-4) to be controlled and accessed from a test bus (13) via a single TAP interface (20).
    Type: Grant
    Filed: August 3, 2010
    Date of Patent: October 11, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8037384
    Abstract: A semiconductor device includes a test target circuit; scan chains that enable scanning of the test target circuit; a first random number generation circuit that forms test patterns supplied to the scan chains; a second random number generation circuit that is provided separately from the first random number generation circuit; and a random number control circuit that uses the random numbers generated by the second random number generation circuit to change the random numbers generated by the first random number generation circuit. In a test of the semiconductor device, since a period of a clock of a scan chain does not need to be longer than that of a clock of a pattern generator, the number of clocks of the pattern generator needed for a test can be prevented from increasing. Accordingly, a test time can be prevented from increasing.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: October 11, 2011
    Assignee: Hitachi, Ltd.
    Inventors: Takumi Hasegawa, Motoyuki Sato, Tomoji Nakamura, Nobuo Konami, Jun Matsushima
  • Patent number: 8030649
    Abstract: Various techniques for testing multicore processors in an integrated circuit. Each core includes a plurality of registers configured to form at least two scan chains. In one embodiment, a verification unit located in the integrated circuit is electrically coupled to outputs of the scan chains. The verification unit is configured to determine the validity of the outputs of the scan chains and to indicate a malfunction of the integrated circuit if the outputs are determined not to be valid.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: October 4, 2011
    Assignee: International Business Machines Corporation
    Inventor: Michael K. Gschwind
  • Patent number: 8028212
    Abstract: Testing of integrated circuits is achieved by a test architecture utilizing a scan frame input shift register, a scan frame output shift register, a test controller, and a test interface comprising a scan input, a scan clock, a test enable, and a scan output. Scan frames input to the scan frame input shift register contain a test stimulus data section and a test command section. Scan frames output from the scan frame output shift register contain a test response data section and, optionally, a section for outputting other data. The command section of the input scan frame controls the test architecture to execute a desired test operation.
    Type: Grant
    Filed: August 11, 2009
    Date of Patent: September 27, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8028211
    Abstract: A method and apparatus are disclosed for predicting the failure of a functional element of an integrated circuit during operation. The method includes determining whether the functional element of the integrated circuit device is in an idle cycle, elevating the temperature of the functional element above a normal operating temperature, performing a stress test of the functional element while the functional element is in the idle cycle, and indicating that the functional element, if it fails the stress test, is a potential future failing element. The stress test can include simultaneously providing a margining test voltage and a stress clock signal to the functional element while the temperature is elevated or at a normal operating temperature. The stress test is performed in the background, during continuous operation of the integrated circuit device, such that normal operation of the integrated circuit device is not interrupted.
    Type: Grant
    Filed: November 11, 2008
    Date of Patent: September 27, 2011
    Assignee: Integrated Device Technology, Inc.
    Inventors: Michael Miller, Chuen-Der Lien
  • Publication number: 20110231719
    Abstract: In a particular embodiment, a method is disclosed that includes mapping failing bit positions within multiple scan chains to memory locations of a memory mask. The method also includes executing logic built-in self-test (LBIST) testing on a semiconductor device using the memory mask to selectively mask certain results within the multiple scan chains. The results are associated with performance of LBIST testing on the semiconductor device.
    Type: Application
    Filed: March 16, 2010
    Publication date: September 22, 2011
    Applicant: QUALCOMM INCORPORATED
    Inventors: Hong S. Kim, Paul F. Policke, Paul Douglas Bassett
  • Patent number: 8024614
    Abstract: A debugger includes: a break detecting circuit which, when the state of a microprocessor core corresponds to a previously set condition, generates a break request signal for requesting a transition of the microprocessor core to a debug state; a trigger detecting circuit which, when a predetermined signal of additional hardware corresponds to a previously set condition, generates a trigger request signal for requesting observation of the predetermined signal; and, an execution control circuit which, when the trigger request signal has been transmitted, outputs a trigger signal for observing the predetermined signal by means of a logic analyzer and outputs a break signal for causing the microprocessor core to transition to the debug state.
    Type: Grant
    Filed: July 4, 2007
    Date of Patent: September 20, 2011
    Assignee: NEC Corporation
    Inventor: Kouhei Nadehara
  • Patent number: 8024632
    Abstract: A method and apparatus are provided for detecting faults in a queue (also known as FIFO) in a digital system. The method augments the FIFO with an external monitoring mechanism which, on demand, checks the FIFO's operation and alerts the system to malfunctioning of the FIFO's control mechanism or corruption of data contained therein. The detection apparatus does not depend on the implementation of the FIFO; the checking is based solely on observing the data entering and exiting the FIFO. Furthermore, the apparatus works in a non-intrusive manner during a normal operation of the FIFO as part of the system. The method and apparatus allow for many variants, all derived from the same general scheme, and which allow different levels of protection against faults.
    Type: Grant
    Filed: January 11, 2008
    Date of Patent: September 20, 2011
    Inventor: Victor Konrad
  • Patent number: 8024626
    Abstract: A method and system for repairing defective memory in a semiconductor chip. The chip has memory locations, redundant memory, and a central location for ordered fuses. The ordered fuses identify in compressed format defective sections of the memory locations. The defective sections are replaceable by sections of the redundant memory. The ordered fuses have an associated a fuse bit pattern of bits which sequentially represents the defective sections in the compressed format. The method and system determines the order in which the memory locations are wired together; designs a shift register of latches through the memory locations in accordance with the order in which the memory locations are wired together; and associates each of the latches with a corresponding bit of an uncompressed bit pattern from which the fuse bit pattern is derived. The uncompressed bit pattern comprises a sequence of bits, representing the defective sections in uncompressed format.
    Type: Grant
    Filed: October 24, 2006
    Date of Patent: September 20, 2011
    Assignee: International Business Machines Corporation
    Inventors: Janice M. Adams, Frank O. Distler, Mark F. Ollive, Michael R. Ouellette, Jeannie H. Panner
  • Patent number: 8015463
    Abstract: Testing of die on wafer is achieved by; (1) providing a tester with the capability of externally communicating JTAG test signals using simultaneously bidirectional transceiver circuitry, (2) providing die on wafer with the capability of externally communicating JTAG test signals using simultaneously bidirectional transceiver circuitry, and (3) providing a connectivity mechanism between the bidirectional transceiver circuitry's of the tester and a selected group or all of the die on wafer for communication of the JTAG signals.
    Type: Grant
    Filed: February 8, 2011
    Date of Patent: September 6, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8015448
    Abstract: A storage controller including a first controller. The first controller includes a memory module, a test access port controller, the test access port controller configured to control a built in self-test operation on the memory module, and a register configured to store a first instruction. In response to the storage controller detecting a test access port interface being accessible to the storage controller, the test access port controller is configured to control the built in self-test operation on the memory module of the first controller by having either (i) a second instruction sent from the test access port controller to the first controller or (ii) the first instruction sent from the register to the first controller. The first controller is configured to perform the built in self-test operation on the memory module in response to having received the first instruction or having received the second instruction.
    Type: Grant
    Filed: June 19, 2007
    Date of Patent: September 6, 2011
    Assignee: Marvell International Ltd.
    Inventor: Dinesh Jayabharathi
  • Patent number: 8015461
    Abstract: Disclosed below are representative embodiments of methods, apparatus, and systems used to generate test patterns for testing integrated circuits. Embodiments of the disclosed technology can be used to provide a low power test scheme and can be integrated with a variety of compression hardware architectures (e.g., an embedded deterministic test (“EDT”) environment). Certain embodiments of the disclosed technology can reduce the switching rates, and thus the power dissipation, in scan chains with no hardware modification. Other embodiments use specialized decompression hardware and compression techniques to achieve low power testing.
    Type: Grant
    Filed: December 17, 2009
    Date of Patent: September 6, 2011
    Assignee: Mentor Graphics Corporation
    Inventors: Janusz Rajski, Grzegorz Mrugalski, Dariusz Czysz, Jerzy Tyszer
  • Patent number: 8015466
    Abstract: A Scan-BIST architecture is adapted into a low power Scan-BIST architecture. A generator 102, compactor 106, and controller 110 remain the same as in the known art. The changes between the known art Scan-BIST architecture and the low power Scan-BIST architecture involve modification of the known scan path into scan path 502, to insert scan paths A 506, B 508 and C 510, and the insertion of an adaptor circuit 504 in the control path 114 between controller 110 and scan path 502.
    Type: Grant
    Filed: March 9, 2011
    Date of Patent: September 6, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20110214028
    Abstract: An integrated circuit can have plural core circuits, each having a test access port that is defined in IEEE standard 1149.1. Access to and control of these ports is though a test linking module. The test access ports on an integrated circuit can be arranged in a hierarchy with one test linking module controlling access to plural secondary test linking modules and test access ports. Each secondary test linking module in turn can also control access to tertiary test linking modules and test access ports. The test linking modules can also be used for emulation.
    Type: Application
    Filed: May 9, 2011
    Publication date: September 1, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Patent number: 8010826
    Abstract: Reconfigurable circuits, methods, and systems with reconfigurable interconnect devices, clusters of reconfigurable logic devices, and a programming interface configured to receive configuration data to configure a first combination of the reconfigurable interconnect and logic devices to implement a circuit, and to remap a portion of the received configuration data, corresponding to a defective cluster, from the defective cluster to another non-defective cluster of the plurality of clusters to configure a second combination of the reconfigurable interconnect and logic devices to implement the circuit.
    Type: Grant
    Filed: November 11, 2009
    Date of Patent: August 30, 2011
    Assignee: Meta Systems
    Inventors: Frédéric Réblewski, Olivier V. LePape
  • Publication number: 20110209021
    Abstract: The present invention is directed to methods of monitoring logic circuits for failures. In particular, the methods are directed toward establishing parallel logic cores where failures are detected by comparing the parallel paths for equivalence at key locations by a redundancy checker. Any mismatch will result in a predetermined failsafe operational mode. In addition, important techniques are applied to periodically exercise individual parallel paths to ensure that logic cores are verified in a way that does not disturb any process being monitored or controlled. This feature is important in some industries, such as the nuclear power industry, where safety critical operations require a high state of reliability on logic circuit blocks which may be infrequently utilized.
    Type: Application
    Filed: March 10, 2009
    Publication date: August 25, 2011
    Inventors: Steen Ditlev Sorensen, Sten Sogaard
  • Publication number: 20110209022
    Abstract: A TAP linking module (21, 51) permits plural TAPs (TAPs 1-4) to be controlled and accessed from a test bus (13) via a single TAP interface (20).
    Type: Application
    Filed: May 2, 2011
    Publication date: August 25, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20110209023
    Abstract: A TAP linking module (21, 51) permits plural TAPs (TAPs 1-4) to be controlled and accessed from a test bus (13) via a single TAP interface (20).
    Type: Application
    Filed: May 2, 2011
    Publication date: August 25, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Patent number: 8006149
    Abstract: A method for data logging from inside a semiconductor device, yielding timing performance information about the logic behind each and every flip-flop in the scan chain and displaying the sensitivity of certain flipflops to speed related manufacturing defects. The method comprises steps for testing, measuring, storing, and analyzing records for frequency characterization of complex digital semiconductors.
    Type: Grant
    Filed: November 27, 2006
    Date of Patent: August 23, 2011
    Assignee: Verigy (Singapore) Pte. Ltd.
    Inventors: Richard C. Dokken, Gerald S. Chan, Phillip D. Burlison
  • Patent number: 8006153
    Abstract: A method, an apparatus, and a computer program are provided to utilize built-in self test (BIST) latches for multiple purposes. Conventionally, BIST latches are single purpose. Hence, separate latches are utilized for array built-in self test (ABIST) and logic built-in self test (LBIST) operations. By having the separate latches, though, a substantial amount area is lost. Therefore, to better utilize the latches and the area, ABIST latches are reconfigured to utilize some previously unused ports to allow for multiple uses for the latches, such as for LBIST.
    Type: Grant
    Filed: August 25, 2008
    Date of Patent: August 23, 2011
    Assignee: International Business Machines Corporation
    Inventors: Steven Ross Ferguson, Garrett Stephen Koch, Osamu Takahashi, Michael Brian White
  • Patent number: 8006144
    Abstract: This application discloses a data processing apparatus comprising: at least one memory; processing logic operable to perform data processing operations on data and operable to access said at least one memory; and memory testing logic operable to perform a transparent algorithm testing routine on said at least one memory, said data processing apparatus impeding said processing logic from accessing said at least one memory while said memory testing logic is performing said testing routine; wherein said processing logic and said memory testing logic are operable to detect a system event, said memory testing logic being operable when performing said testing routine to respond to detection of said system event by stopping said testing routine and restoring said at least one memory to an initial state, said initial state being a state it was in immediately prior to commencement of said testing routine, whereupon said data processing apparatus is operable to allow said processing logic to access said at least one me
    Type: Grant
    Filed: June 7, 2007
    Date of Patent: August 23, 2011
    Assignee: ARM Limited
    Inventor: Paul Stanley Hughes
  • Publication number: 20110202811
    Abstract: The disclosure provides a novel method and apparatus for inputting addresses to devices to select the device TAP for access. Further, the disclosure provides a novel method and apparatus for inputting addresses for selecting device TAPs and for inputting commands for commanding circuitry within the device. The inputting of addresses or the inputting of addresses and commands is initiated by a control bit input on TDI that is recognized during the Run Test/Idle, Pause-DR or Pause-IR TAP states.
    Type: Application
    Filed: December 16, 2010
    Publication date: August 18, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Patent number: 8001411
    Abstract: A method for generating a local clock domain within an operation includes steps of: receiving a clock frequency measurement for a slow portion of logic within the operation; generating a local signal to indicate commencement of the operation and to function as a clock gating signal; latching the clock gating signal to a selected cycle; generating clock domain controls based on the clock gating signal such that the operation times itscommencement on the selected cycle; and propagating the clock gating signal in ungated latches for a number of cycles, such that a second operation is restricted from being launched until the operation completes.
    Type: Grant
    Filed: September 24, 2007
    Date of Patent: August 16, 2011
    Assignee: International Business Machines Corporation
    Inventors: Sean Michael Carey, William Vincent Huott, Christian Jacobi, Guenter Mayer, Timothy Gerard McNamara, Chung-Lung Kevin Shum, Hans-Werner Tast, Michael Hemsley Wood
  • Patent number: 8001434
    Abstract: A self-testing memory module includes a printed circuit board configured to be operatively coupled to a memory controller of a computer system and includes a plurality of memory devices on the printed circuit board, each memory device of the plurality of memory devices comprising data, address, and control ports. The memory module also includes a control module configured to generate address and control signals for testing the memory devices. The memory module includes a data module comprising a plurality of data handlers. Each data handler is operable independently from each of the other data handlers of the plurality of data handlers. Each data handler is operatively coupled to a corresponding plurality of the data ports of one or more of the memory devices and is configured to generate data for writing to the corresponding plurality of data ports.
    Type: Grant
    Filed: April 13, 2009
    Date of Patent: August 16, 2011
    Assignee: NETLIST, Inc.
    Inventors: Hyun Lee, Jayesh R. Bhakta, Soonju Choi
  • Patent number: 8001435
    Abstract: A TAP linking module (21, 51) permits plural TAPs (TAPs 1-4) to be controlled and accessed from a test bus (13) via a single TAP interface (20).
    Type: Grant
    Filed: June 17, 2010
    Date of Patent: August 16, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8001436
    Abstract: Plural scan test paths (401) are provided to reduce power consumed during testing such as combinational logic (101). A state machine (408) operates according to plural shift states (500) to control each scan path in capturing data from response outputs of the combinational logic and then shifting one bit at a time to reduce the capacitive and constant state power consumed by shifting the scan paths.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: August 16, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 7996742
    Abstract: A circuit arrangement comprising a logic circuit to be tested and a test circuit. The logic circuit comprising logic-circuit-internal combinations configured to generate output data from input data based on a predetermined relationship. The logic circuit is configured to detect whether the relationship is satisfied and to provide an error signal if the relationship is not satisfied. The test circuit is configured to alter logic-circuit-internal combinations, to detect the error signal, and to output an alarm signal if the error signal is not detected upon alteration of the logic-circuit-internal combinations.
    Type: Grant
    Filed: November 10, 2008
    Date of Patent: August 9, 2011
    Assignee: Infineon Technologies AG
    Inventors: Marcus Janke, Franz Klug, Peter Laackmann, Dirk Rabe, Stefan Rueping
  • Patent number: 7994806
    Abstract: Embodiments of the present disclosure relate to a system and method for testing an embedded circuit in a semiconductor arrangement as part of an overall circuit that is located on a semiconductor wafer, the system and method comprising an arrangement comprising an overall circuit with at least one input and output. The overall circuit may be provided with an embedded circuit that is not directly connected to the inputs and outputs or may be connected thereto by being specially switched. Switching elements and test islands that are connected thereto may be provided such that the input or the output of the embedded circuit may be connected to the test islands via the switching elements in case of a test. The switching elements may be switched to said test mode in case of a test by applying a voltage to the test island, or the switching elements may be switched in this manner.
    Type: Grant
    Filed: December 9, 2005
    Date of Patent: August 9, 2011
    Assignee: X-Fab Semiconductor Foundries AG
    Inventors: Holger Halberla, Soeren Lohbrandt
  • Patent number: 7996743
    Abstract: An integrated circuit may have a circuit under test. The integrated circuit may have a clock generation circuit that receives a reference clock from a tester and that generates a corresponding core clock. The integrated circuit may have a built in self test circuit and a clock synthesizer that receives the core clock. The built in self test circuit may provide clock synthesizer control signals that direct the clock synthesizer to produce test clock signals at various test clock frequencies. The test clock at the test clock frequencies may be applied to the circuit under test during circuit testing. The circuit under test may assert a pass signal when the circuit tests are completed successfully. The built in self test circuit may inform the tester of the maximum clock frequency at which the circuit under test successfully passes testing.
    Type: Grant
    Filed: April 1, 2008
    Date of Patent: August 9, 2011
    Assignee: Altera Corporation
    Inventors: Tze Sin Tan, Jayabrata Ghosh Dastidar
  • Publication number: 20110185240
    Abstract: Electronic apparatus, systems, and methods of operating and constructing the electronic apparatus and/or systems include an embedded processor disposed in a logic chip to direct, among other functions, self-testing of an electronic device structure in conjunction with a pattern buffer disposed in the logic chip, when the electronic device structure is coupled to the logic chip. Additional apparatus, systems, and methods are disclosed.
    Type: Application
    Filed: April 11, 2011
    Publication date: July 28, 2011
    Inventor: Joe M. Jeddeloh
  • Patent number: 7986156
    Abstract: An exemplary aspect of an embodiment of the present invention is a semiconductor device including a plurality of test elements formed in an array on a semiconductor substrate, an address signal generating portion that generates an address signal corresponding to each of the test elements, and a digital-to-analog converter that converts the address signal into an analog signal and outputs the converted analog signal. The present invention enables to recognize which DUT is being measured.
    Type: Grant
    Filed: February 27, 2009
    Date of Patent: July 26, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Jun Ikeda, Morihisa Hirata
  • Patent number: 7984343
    Abstract: A test circuit can use a simple test pattern data without customization for each substrate and considerably reduce a test preparation process. A connection test circuit is generated by receiving the input of the data of the connection relation indicating the devices mutually line-connected among a plurality of devices, the number of connection lines corresponding to the respective connection relations, and the device outputting a test result, sequentially searching for a connection destination device from the output terminal of an output device, and embedding a test circuit module in a test route.
    Type: Grant
    Filed: August 10, 2009
    Date of Patent: July 19, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Kohichi Tamai
  • Patent number: 7984352
    Abstract: A system comprises built-in self-test (BIST) logic configured to perform a BIST, processing logic coupled to the BIST logic and storage logic coupled to the processing logic. The storage logic comprises debug context information associated with a debugging session. Prior to performance of the BIST, the processing logic stores the debug context information to a destination. After performance of the BIST, the processing logic is reset, and the processing logic restores the debug context information from the destination to the storage logic.
    Type: Grant
    Filed: December 31, 2008
    Date of Patent: July 19, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Karl F. Greb
  • Patent number: 7979754
    Abstract: A method of testing a proximity communication system for voltage margin by impressing a voltage upon the data link between the transmitter on one chip and the receiver on the other chip coupled to the transmitter through a capacitively coupling circuit formed by juxtaposed capacitor pads on the respective two chips. The impressed voltage is varied and the output of the receiver is monitored to determine an operational voltage margin. The floating inputs on the receiver may be continuously biased by connecting them to variable biasing supply voltages through high impedances. When the floating inputs are periodically refreshed to a refresh voltage during a quiescent data period, the refresh voltage is varied between successive refresh cycles. The variable test voltage may be applied to transmitter output when it is in a high-impedance state, and the output of the receiver is measured.
    Type: Grant
    Filed: January 12, 2009
    Date of Patent: July 12, 2011
    Assignee: Oracle America, Inc.
    Inventors: Robert J. Drost, Ronald Ho, Justin M. Schauer
  • Patent number: 7979758
    Abstract: Semiconductor memory device includes a cell array including a plurality of unit cells; and a test circuit configured to perform a built-in self-stress (BISS) test for detecting a defect by performing a plurality of internal operations including a write operation through an access to the unit cells using a plurality of patterns during a test procedure carried out at a wafer-level.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: July 12, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Hwang Hur, Chang-Ho Do, Jae-Bum Ko, Jin-Il Chung