Random Pattern Generation (includes Pseudorandom Pattern) Patents (Class 714/739)
  • Patent number: 7856582
    Abstract: A method, system and computer program product for performing real-time LBIST diagnostics of IC devices. During LBIST, stump data and identifiers of test cycles are saved in the IC device-under-test (DUT). If compressed stump data does not match a pre-defined coded value (i.e., “signature” of the test cycle), the saved stump data and an identifier of the failed test cycle are preserved, otherwise the determination is made the DUT passed the test cycle. Identifiers and stump of the failed test cycles are used to analyze errors, including virtually non-reproducible errors.
    Type: Grant
    Filed: April 3, 2008
    Date of Patent: December 21, 2010
    Assignee: International Business Machines Corporation
    Inventors: Daniel W. Cervantes, Robert B. Gass, Joshua P. Hernandez, Timothy M. Skergan
  • Patent number: 7853633
    Abstract: A system and method for generating random permutations of elements (e.g., integers) in a test generation tool by providing one or more ordered ranges (110-170), each represented by a low and high value. Initially a single range is provided corresponding to the entire set of integer to be permuted. A random integer is then selected within this range, and this selected integer is removed by excluding the selected element. This exclusion is achieved by either splitting the range (110) into two ranges (120, 130), or, if the selected integer is the lowest or highest value of an existing range, adapting the end of the range. Subsequently, one of the ranges in the list of ranges is selected randomly and an element within the selected range is selected randomly and excluded, and so on. In this way a random permutation of all the elements in the original range is generated in the test generation tool.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: December 14, 2010
    Assignee: International Business Machines Corporation
    Inventor: Steve Poole
  • Patent number: 7844869
    Abstract: A method and circuit implement testing of a circuit path including a memory array and logic including Logic Built in Self Test (LBIST) diagnostics, and a design structure on which the subject circuit resides are provided. Testing of the circuit path includes initializing the memory array in the circuit path with an initialization pattern, switching to Logic Built in Self Test (LBIST) mode and providing a read only mode for the memory array, and running Logic Built in Self Test (LBIST) testing of the circuit path.
    Type: Grant
    Filed: January 16, 2008
    Date of Patent: November 30, 2010
    Assignee: International Business Machines Corporation
    Inventors: Louis Bernard Bushard, Todd Alan Christensen, Jesse Daniel Smith
  • Patent number: 7836343
    Abstract: A method, apparatus and computer program product are provided for use in a system that includes one or more processors, and multiple threads that are respectively associated with the one or more processors. One embodiment of the invention is directed to a method that includes the steps of generating one or more test cases, wherein each test case comprises a specified set of instructions in a specified order, and defining a plurality of thread hardware allocations, each corresponding to a different one of the threads. The thread hardware allocation corresponding to a given thread comprises a set of processor hardware resources that are allocated to the given thread for use in executing test cases. The method further includes executing a particular one of the test cases on a first thread hardware allocation, in order to provide a first set of test data, and thereafter executing the particular test case using a second thread hardware allocation, in order to provide a second set of test data.
    Type: Grant
    Filed: March 3, 2008
    Date of Patent: November 16, 2010
    Assignee: International Business Machines Corporation
    Inventors: Guo H. Feng, Pedro Martin-de-Nicolas
  • Patent number: 7831879
    Abstract: A solution for generating functional coverage bins for testing a device is disclosed. A method includes: receiving information of a failing test generated from a random simulation performed on the device; tracing a first sequence of signal events that happened in the failing test; correlating the signal events to coverage bins to generate a sequence of coverage bins; creating cross coverage event sequence bins based on the sequence of coverage bins; and outputting the created coverage event sequence bins for testing the device.
    Type: Grant
    Filed: February 19, 2008
    Date of Patent: November 9, 2010
    Assignee: International Business Machines Corporation
    Inventors: Bruce J. Ditmyer, Susan Farmer Bueti, Jonathan P. Ebbers, Suzanne Granato, Francis A. Kampf, Barbara L. Powers, Louis Stermole
  • Patent number: 7827017
    Abstract: A system and method for performing circuit simulation is described. The present approach provides methods and systems that create reusable and independent measurements for use with circuit simulators. Also disclosed are parallelizable measurements having looping constructs that can be run without interference between parallel iterations. Reusability is enhanced by having parameterized measurements. Revisions and history of the operating parameters of circuit designs subject to simulation are tracked. Mechanisms are provided that allow for viewing, measurement or other manipulation of signals at specific locations in a circuit design for simulation, such as parameters that include observation points which are implemented using probes. One approach to executing a measurement is via a controllable and flexible control statement, which in one embodiment is the “run” statement. Improved interfaces for viewing, controlling, and manipulating simulations and simulation results are also provided.
    Type: Grant
    Filed: December 17, 2003
    Date of Patent: November 2, 2010
    Assignee: Cadence Design Systems, Inc.
    Inventor: Kenneth S. Kundert
  • Patent number: 7808404
    Abstract: A seed generator for a scrambler comprises a seed set identifier that identifies a seed set based on received user data symbols, which include a plurality of M-bit symbols. A seed selector selects a scrambling seed for the scrambler from the seed set based on Hamming distances between at least two of the M-bit symbols in the seed set.
    Type: Grant
    Filed: January 2, 2007
    Date of Patent: October 5, 2010
    Assignee: Marvell International Ltd.
    Inventor: Zhan Yu
  • Publication number: 20100251040
    Abstract: A method and apparatus for evaluating and optimizing a signaling system is described. A pattern of test information is generated in a transmit circuit of the system and is transmitted to a receive circuit. A similar pattern of information is generated in the receive circuit and used as a reference. The receive circuit compares the patterns. Any differences between the patterns are observable. In one embodiment, a linear feedback shift register (LFSR) is implemented to produce patterns. An embodiment of the present disclosure may be practiced with various types of signaling systems, including those with single-ended signals and those with differential signals. An embodiment of the present disclosure may be applied to systems communicating a single bit of information on a single conductor at a given time and to systems communicating multiple bits of information on a single conductor simultaneously.
    Type: Application
    Filed: June 14, 2010
    Publication date: September 30, 2010
    Applicant: RAMBUS INC.
    Inventors: Jared Zerbe, Pak Shing Chau, William Franklin Stonecypher
  • Patent number: 7796754
    Abstract: An information recording and/or reproduction processing apparatus is provided. The information recording and/or reproduction processing apparatus is provided by which additional information such as copy protection information is recorded as encrypted data on an information recording medium and also a cryptographic key is recorded such that the difficulty in analysis thereof is promoted. Where additional information such as copy protection information is encrypted and recorded and also a cryptographic key for the encrypted additional information is recorded together, a seed is generated based on an error correction code set corresponding to the additional information. Then, a scrambling or bit position conversion process in which random numbers generated based on the seed are applied is executed for the cryptographic key data, and resulting cryptographic key data is recorded on an information recording medium.
    Type: Grant
    Filed: October 22, 2004
    Date of Patent: September 14, 2010
    Assignee: Sony Corporation
    Inventor: Shoei Kobayashi
  • Patent number: 7793184
    Abstract: A method, system and computer readable medium for on-chip testing is presented. In one embodiment, the method, system or computer readable medium includes identifying which LBIST channels of a plurality of LBIST channels do not contribute to a particular test and excluding from that particular test each LBIST channel that does not contribute to that particular test.
    Type: Grant
    Filed: January 11, 2007
    Date of Patent: September 7, 2010
    Assignee: International Business Machines Corporation
    Inventor: Steven M. Douskey
  • Patent number: 7788560
    Abstract: An interleaver has an input multiplexer that receives a data sequence at an interleaver input and that separates the data sequence into multiple data sub-blocks. The interleaver has a linear feedback shift register that generates an input address sequence. The interleaver has adder circuits that generate output address sequences associated with each data sub-block. The interleaver has memory that stores the data sub-blocks at addresses controlled by the input address sequence. The memory reproduces each data sub-block in an interleaved sequence controlled by the associated output address sequence. The interleaver has an output multiplexer that assembles the interleaved sequences to provide an interleaver output.
    Type: Grant
    Filed: March 20, 2008
    Date of Patent: August 31, 2010
    Assignee: Seagate Technology LLC
    Inventors: Cenk Argon, Richard Martin Born, Gregory Lee Silvus, Thomas Victor Souvignier, Peter Igorevich Vasiliev
  • Patent number: 7765450
    Abstract: As described herein, circuit testing algorithms, or portions thereof, can be executed in a distributed manner so that their execution can be over a network of processors. In one aspect, the results that are obtained by such distributed execution are ensured to be consistent with the results that would be obtained by executing them in a non-distributed manner. Thus, in one aspect, the algorithms, or portions thereof, have to be made distributable. The algorithms, or portions thereof, are made distributable by isolating any random number generation therewith to be independent of each other. This isolation applies to any random number generation associated with different call instances of the same algorithm as well. In one aspect, the isolation is accomplished by ensuring that the calculation of random number sequences for the algorithms, or portions thereof, is not dependent on random number sequences calculated for the others or between call instances of the same algorithm.
    Type: Grant
    Filed: October 20, 2005
    Date of Patent: July 27, 2010
    Inventors: Jon Udell, Chen Wang, Mark Kassab, Janusz Rajski
  • Patent number: 7761764
    Abstract: A system and method for self-test of an integrated circuit are disclosed. As one example, an integrated circuit is disclosed. The integrated circuit includes a digital signal processing chain, a random sequence generator coupled to an input of the digital signal processing chain, and a checksum calculator coupled to an output of the digital signal processing chain.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: July 20, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: William M. Hurley
  • Patent number: 7757142
    Abstract: Self-synchronizing techniques for checking the accuracy of a pseudorandom bit sequence (PRBS) are provided. The PRBS being checked may be generated by a device (e.g., a device under test) in response to a PRBS received by the device (e.g., from a PRBS generator). In an aspect of the invention, a PRBS checking technique includes the following steps/operations. For a given clock cycle, the presence of an error bit in the PRBS generated by the device is detected. The error bit represents a mismatch between the PRBS input to the device and the PRBS output from the device. Then, propagation of the error bit is prohibited for subsequent clock cycles. The prohibition step/operation may serve to avoid multiple errors being counted for a single error occurrence and/or masking errors in the PRBS output by the device.
    Type: Grant
    Filed: July 16, 2008
    Date of Patent: July 13, 2010
    Assignee: International Business Machines Corporation
    Inventors: Mohit Kapur, Seongwon Kim
  • Patent number: 7752515
    Abstract: An architecture and methodology for test data compression using combinational functions to provide serial coupling between consecutive segments of a scan-chain are described. Compressed serial-scan sequences are derived starting from scan state identifying desired Care_In values and using symbolic computations iteratively in order to determine the necessary previous scan-chain state until computed previous scan-chain state matches given known starting scan-chain state. A novel design for a new flip-flop is also presented that allows implementing scan-chains that can be easily started and stopped without requiring an additional control signal. Extensions of the architecture and methodology are discussed to handle unknown (X) values in scan-chains, proper clocking of compressed data into multiple scan-chains, the use of a data-spreading network and the use of a pseudo-random signal generator to feed the segmented scan-chains in order to implement Built In Self Test (BIST).
    Type: Grant
    Filed: March 1, 2007
    Date of Patent: July 6, 2010
    Inventors: Bulent I. Dervisoglu, Laurence H. Cooke
  • Patent number: 7743306
    Abstract: The X-type of each bit permutation is determined (step 301). When there are X-types except for X-type 1, i.e., X-type with no don't-care bits, total capture state transition numbers TECTA1 and TECTA2 for capture clock pulses C1 and C2 are calculated (step 303). As a result, when TECTA1>TECTA2, an X-type is selected for the capture clock pulse C1 and a first X-filling processing is performed (see step 305). On the other hand, when TECTA1?TECTA2, an X-type is selected for the capture clock pulse C2 and a second X-filling processing is performed (step 306).
    Type: Grant
    Filed: July 12, 2006
    Date of Patent: June 22, 2010
    Assignee: Kyushu Institute of Technology
    Inventors: Xiaoqing Wen, Seiji Kajihara
  • Patent number: 7734973
    Abstract: An apparatus enables a high quality test to be carried out within a short time, without forcing a severe design limitation on the designer and without an expensive tester. The apparatus includes a pattern generator built in an integrated circuit to generate pseudo random patterns as test patterns. A plurality of shift registers are configured with sequential circuit elements inside said integrated circuit. An automatic test pattern generating unit generates ATPG patterns. A pattern modifier modifies a portion, to which a predetermined value is required to be set in order to detect a fault, in said pseudo random patterns generated by said pattern generator, on a basis of said ATPG patterns, and inputs said modified pseudo random patterns to said shift registers.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: June 8, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Takahisa Hiraide, Hitoshi Yamanaka
  • Patent number: 7730373
    Abstract: A method includes obtaining an equivalent core of multiple cores in a System-on-Chip circuit, and applying linear-feedback shift register LFSR reseeding for compressing test data of the equivalent core.
    Type: Grant
    Filed: August 15, 2007
    Date of Patent: June 1, 2010
    Assignee: NEC Laboratories America, Inc.
    Inventors: Zhanglei Wang, Seongmoon Wang
  • Patent number: 7716546
    Abstract: A method for improved Logic Built-In Self-Test (LBIST) includes providing a plurality of control signal sets, by an LBIST controller, to an LBIST domain comprising a plurality of LBIST satellite modules. Each of the plurality of LBIST satellite modules receives an individual one of the plurality of control signal sets. The LBIST controller interleaves the LBIST channel scan and LBIST sequence operations for each of the LBIST satellite modules, through the plurality of control signal sets. A test system includes a Logic Built-In Self-Test (LBIST) domain comprising a plurality of LBIST satellite modules. An LBIST controller couples to the LBIST domain and provides a plurality of control signal sets to the LBIST domain, wherein each of the plurality of LBIST satellite modules receives an individual one of the plurality of control signal sets. The LBIST controller interleaves LBIST channel scan operations for each of the LBIST satellite modules, through the plurality of control signal sets.
    Type: Grant
    Filed: October 3, 2007
    Date of Patent: May 11, 2010
    Assignee: International Business Machines Corporation
    Inventors: Hien Minh Le, Robert Christopher Dixon, Luis Carlos Medina, Tung Nguyen Pham
  • Patent number: 7694193
    Abstract: Systems and methods for implementing a stride value for memory are provided. One embodiment includes a system comprising a plurality of memory modules configured to store interleaved data in a plurality of memory storage units according to a predetermined interleave. The plurality of memory storage units can be defined by a memory range of consecutive addresses. The system also comprises a memory test device configured to access a portion of the plurality of memory storage units in a sequence that repeats according to a programmable stride value.
    Type: Grant
    Filed: March 13, 2007
    Date of Patent: April 6, 2010
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Larry J. Thayer, Andrew C. Walton, Mike H. Cogdill
  • Patent number: 7694202
    Abstract: A system and method to provide memory test patterns for the calibration of a delay locked loop (DLL) using a pseudo random bit sequence (PRBS) stored in a serial presence detect (SPD) circuit memory. The test bits stored in the SPD memory are transferred to a memory controller register (MCR) and implemented on the system data bus as test patterns that closely simulate run-time switching conditions on the system bus, so as to allow more accurate calibration of the DLL. Test data write/read operations may be performed while signals for the test patterns are present on various bit lines in the data bus so as to allow for accurate determination or adjustment of the value for the delay to be provided by the DLL to the strobe signals during memory data reading operations at run time. Memory chips may also be tested over an operating range of values using the generated test patterns.
    Type: Grant
    Filed: January 28, 2004
    Date of Patent: April 6, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Travis E. Swanson, Jeffrey J. Rooney
  • Patent number: 7693676
    Abstract: Low power design is a critical concern and metric for integrated circuits. During scan based manufacturing test, electric power dissipation becomes even more critical as the chip may not have been designed to tolerate excessive switching during scan test. Excessive electric power dissipation during scan test can result in excessive voltage variations, reduced noise margins and other signal integrity issues which could invalidate the test or may lead to premature chip failure. Power dissipation during test is minimized by selecting particular values for the unused care-bits in values of the test vectors on a probabilistic basis to minimize switching, while preserving test vector quality.
    Type: Grant
    Filed: February 9, 2007
    Date of Patent: April 6, 2010
    Assignee: Cadence Design Systems, Inc.
    Inventors: Brion L. Keller, Vivek Chickermane, Sandeep Bhatia
  • Patent number: 7681098
    Abstract: Systems and methods for improved fault coverage of logic built-in-self-tests (LBISTs) in integrated circuits (ICs) by determining weighting and/or seed values to be used in generating pseudorandom test bit patterns for each channel to optimize fault coverage. In one embodiment, a method includes generating a pseudorandom sequence of bits, applying a weighting value to the sequence, propagating the weighted sequence through one or more levels of logic, and capturing the resulting data. Metrics are then applied to the captured data to determine the suitability or optimality of the weighting value, and an optimal weighting value is selected. This may be performed for a plurality of trial values for each of a number of channels to obtain a set of weighting values for the different LBIST channels. The method may also include determining a seed value for the pseudorandom bit pattern generator.
    Type: Grant
    Filed: April 20, 2006
    Date of Patent: March 16, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Naoki Kiryu
  • Patent number: 7673198
    Abstract: A testing system includes an integrated circuit having an analog design under test and a processor; an digital-to-analog converter (DAC), coupled to the analog design under test and the processor, for converting a digital testing sequence output of the processor into an analog testing sequence fed into the analog design under test; a analog-to-digital converter (ADC), coupled to the analog design under test and the processor, for converting an analog testing response of the analog design under test into a digital testing response fed into the processor; and an external tester, coupled to the processor of the integrated circuit, for sequentially outputting a program sequence to the processor; wherein the processor executes the program sequence without un-predictable conditional jump to get a testing result of the testing system and then outputs the testing result to the external tester.
    Type: Grant
    Filed: November 23, 2005
    Date of Patent: March 2, 2010
    Assignee: MediaTek Inc.
    Inventors: Li-Chun Tu, Chun-Yu Lin, Chao-Long Tsai, Chun-Chieh Shih
  • Patent number: 7665004
    Abstract: A timing generator that needs no analog circuit for adding jitters and allows the circuit scale and power consumption to be reduced.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: February 16, 2010
    Assignee: Advantest Corporation
    Inventors: Masakatsu Suda, Masahiro Ishida, Daisuke Watanabe
  • Patent number: 7661039
    Abstract: A self-synchronizing data bus analyzer is provided which can include a generator linear feedback shift register (LFSR) to generate a first data set, and can include a receiver LFSR to generate a second data set. The data bus analyzer may also include a bit sampler to sample the first data set received through a data bus coupled to the generator LFSR and output a sampled first data set. A comparator can be included to compare the sampled first data set with the second data set generated by the receiver LFSR and provide a signal to the receiver LFSR to adjust a phase of the receiver LFSR until the second data set is substantially the same as the first data set.
    Type: Grant
    Filed: May 21, 2008
    Date of Patent: February 9, 2010
    Assignee: International Business Machines Corporation
    Inventors: Gerard Boudon, Didier Malcavet, David Pereira, Andre Steimle
  • Publication number: 20100031105
    Abstract: In a random error signal generator, an M-sequence generation circuit outputs, in parallel, pieces of bit data stored in each register, a first generation circuit sequentially outputs first reference values C which are changed by a predetermined value in response to clocks, a second generation circuit outputs a second reference value D which is shifted from the first reference value C by a range value E which is determined depending on an error rate p. A comparison and determination unit outputs random error signals to be error bits when a numeric value A of the bit data output exists between the first and second reference values C, D. The random error signal has the error rate p, the number of times of error occurrences follows Poisson distribution, and a distribution of adjacent error occurrence intervals follows a geometric distribution.
    Type: Application
    Filed: July 28, 2009
    Publication date: February 4, 2010
    Applicant: Anritsu Corporation
    Inventors: Takashi FURUYA, Masahiro Kuroda, Hiroshi Shimotahira
  • Patent number: 7653844
    Abstract: In a communication system based on OSI (Open Systems Interconnection) Reference Model, a pattern body generation circuit of a transmitting device generates and outputs a jitter test pattern body for jitter test. A selector selects an output (frame data) of a transmitting-end upper circuit during normal communication and selects an output (pattern body) of the pattern body generation circuit during jitter test. A transmitting-end MAC circuit performs transmitting-end processing of a MAC layer on the data selected by the selector to thereby obtain a MAC frame. A receiving-end MAC circuit performs receiving-end processing of a MAC layer on a received frame in MAC frame format to thereby obtain a payload. A pattern body verification circuit verifies a pattern body that is a payload obtained by the receiving-end MAC circuit during jitter test against a corresponding pattern body before transmission.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: January 26, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Kenichi Sasaki
  • Patent number: 7653855
    Abstract: A random number test circuit includes a counting unit to count number of repetitions of a certain-value bit in a random number sequence, the repetitions occurring in series, a detecting unit to detect a plurality of numbers corresponding to a kind of bits in the random number sequence, and a determining unit to determine whether the random number sequence is normal, based on the numbers.
    Type: Grant
    Filed: October 19, 2007
    Date of Patent: January 26, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Shinichi Yasuda, Shinobu Fujita, Koichi Fujisaki, Tetsufumi Tanamoto, Keiko Abe
  • Patent number: 7650553
    Abstract: An interface test can be performed by, for example, only a self apparatus when interface operation specifications are different between the self apparatus and an original connection partner apparatus. An LSI has a plurality of interfaces (IFs) for transmission/reception of data with an external device, and the LSI includes an emulation control unit for allowing one of the two of the plurality of IFs to perform an operation of emulating an IF of a connection partner device having operation specifications different from those of the LSI, when two IFs are connected to each other via a transmission line.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: January 19, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Kazufumi Komura
  • Patent number: 7644334
    Abstract: This test generator takes data flow block diagrams and uses requirements-based templates, selective signal propagation, and range comparison and intersection to generate test cases containing test vectors for those diagrams. The templates are based on the functionality and characteristics of a block type, and each block type has associated templates. These templates provide maps for the creation of test values that verify the functionality of particular instances of that block type. Signal propagation allows the generation of diagram-level test cases that verify particular characteristics of a single embedded block. The methods disclosed for signal propagation utilize range intersection, equivalence classes, and block type formulae to create efficient and complete test cases. This test generation method would preferably be repeated until all blocks in a data flow block diagram were verified in their respective contexts, and it creates test cases that cover multiple time steps.
    Type: Grant
    Filed: November 26, 2007
    Date of Patent: January 5, 2010
    Assignee: Honeywell International, Inc.
    Inventors: Stephen O. Hickman, Devesh Bhatt
  • Patent number: 7627798
    Abstract: Systems and methods for performing logic built-in-self-tests (LBISTS) in digital circuits. In one embodiment, the operation of LBIST circuitry is suspended at the end of each test cycle so that the bit patterns generated by the functional logic of the device under test can be examined to determine if any errors occurred during the test cycle. Pseudorandom bit patterns are scanned into the scan chains interposed between portions of the functional logic circuit and then propagated through the functional logic. The resulting bit patterns are captured in scan chains following the functional logic and then scanned out of the scan chains. The bit patterns are processed and compared to corresponding data generated by a parallel LBIST system in a device that is known to operate properly. The LBIST test cycles are then halted if there are errors in the generated bit patterns or resumed if there are no errors.
    Type: Grant
    Filed: October 8, 2004
    Date of Patent: December 1, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Naoki Kiryu
  • Patent number: 7627843
    Abstract: The input for a test generator is a plurality of test templates, each of which typically aims at covering a specific verification task. Test templates direct the production of distinct transactions, which are the atomic functional building blocks of the design-under-verification. Test templates directed to different hardware functions of the scenario are dynamically interleaved. In this way several transactions are combined together in complex statements in order to achieve a complex test scenario. The transactions are submitted to the test generator, which generates test cases, in which the different hardware functions of the scenario are exercised in combinations. Variation among the test cases is achieved through a large number of random decisions made during the generation process.
    Type: Grant
    Filed: March 23, 2005
    Date of Patent: December 1, 2009
    Assignee: International Business Machines Corporation
    Inventors: Igor Dozorets, Roy Emek, Sanjay Gupta, Itai Jaeger, Lawrence Allyn McConville, Tzach Schechner, Todd Swanson
  • Patent number: 7610527
    Abstract: Implementations of the present principles are directed to test output compaction arrangements and a methods of generating control patterns for unknown blocking. The specified bits in the control patterns, which when using linear feedback shift register (LFSR) reseeding determines control data volume and LFSR size, are preferably organized in a manner so as to balance the number of specified bits in the control patterns across test patterns.
    Type: Grant
    Filed: March 14, 2006
    Date of Patent: October 27, 2009
    Assignee: NEC Laboratories America, Inc.
    Inventors: Seongmoon Wang, Kedarnath J Balakrishnan, Srimat T Chakradhar
  • Patent number: 7610526
    Abstract: Systems, methodologies, media, and other embodiments associated with validating a bus are described. One exemplary system embodiment includes an integrated circuit operably connectable to a bus, the bus being connectable to an external device configured to drive one or more electrical signals onto the bus. The integrated circuit may comprise a first logic configured to receive a test sequence of electrical signals from the bus, a second logic configured to produce a check sequence of electrical signals related to the test sequence of electrical signals, and a compare logic operably connected to the first logic and the second logic. The compare logic may be configured to determine whether the bus is correctly transmitting data based, at least in part, on comparing the test sequence and the check sequence.
    Type: Grant
    Filed: January 24, 2005
    Date of Patent: October 27, 2009
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Derek A. Sherlock, Jayen J. Desai, Chih-Jen Chen
  • Patent number: 7610531
    Abstract: Mechanisms for modifying a test pattern to control power supply noise are provided. A portion of a sequence of states in a test sequence of a test pattern waveform is modified so as to achieve a circuit voltage, e.g., an on-chip voltage, which approximates a nominal circuit voltage, such as produced by the application of other portions of the sequence of states in the same or different test sequences. For example, hold state cycles or shift-scan state cycles may be inserted or removed prior to test state cycles in the test pattern waveform. The insertion/removal shifts the occurrence of the test state cycles within the test pattern waveform so as to adjust the voltage response of the test state cycles so that they more closely approximate a nominal voltage response. In this way, false failures due to noise in the voltage supply may be eliminated.
    Type: Grant
    Filed: September 13, 2006
    Date of Patent: October 27, 2009
    Assignee: International Business Machines Corporation
    Inventors: Sang H. Dhong, Brian Flachs, Gilles Gervais, Brad W. Michael, Mack W. Riley
  • Patent number: 7600169
    Abstract: Systems and methods for implementing test case generation with feedback are disclosed. An exemplary system for test case generation with feedback comprises a plurality of knobs identifying test values for a device under test. A plurality of buckets is each associated with at least one of the test values, each bucket having a weight value for the associated test value. A failure analysis module is operatively associated with the device under test, the failure analysis module changing at least some weight values based on feedback from test operations for the device under test. A test case generator selects test values for test operations based on the weight value for the associated test value.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: October 6, 2009
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Christopher Todd Weller
  • Publication number: 20090240995
    Abstract: A test method and apparatus for randomly testing logic structures. The method includes identifying and analyzing a functional behavior of a logic structure to be covered during the random testing, modifying the logic structure such that the logic structure behaves in a functional manner during random testing, and generating patterns to exercise the modified logic structure.
    Type: Application
    Filed: March 19, 2008
    Publication date: September 24, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Mary P. Kusko, Barry W. Krumm, Patrick Meaney, Bryan J. Robbins
  • Publication number: 20090222779
    Abstract: Methods and apparatuses for generating a random sequence of commands for a semiconductor device. The method generates random state transitions within a finite state machine model of the semiconductor device. A sequence of commands is determined which are associated to the generated random state transitions based on the finite state machine model of the semiconductor device.
    Type: Application
    Filed: February 28, 2008
    Publication date: September 3, 2009
    Applicant: QIMONDA AG
    Inventors: Thomas Nirmaier, Wolfgang Spirkl
  • Publication number: 20090164861
    Abstract: A constrained random test bench methodology employing an instruction abstraction layer. The instruction abstraction layer includes an instruction streamer for generating random test instruction sequences that preserve instruction order dependencies and randomly selecting data values from a valid range of data values. Multiple instruction streamers may be employed to simulate interrupt handlers and other functional design units sharing a control command bus. A priority scheduler sequences the instruction sequences generated by multiple instruction streamers based on a specified priority scheme.
    Type: Application
    Filed: December 21, 2007
    Publication date: June 25, 2009
    Applicant: Sun Microsystems, Inc.
    Inventor: Hsien-Chang Richard Tseng
  • Publication number: 20090119563
    Abstract: Disclosed is a logic testing system that includes a decompressor and a tester in communication with the decompressor. The tester is configured to store a seed and locations of scan inputs and is further configured to transmit the seed and the locations of scan inputs to the decompressor. The decompressor is configured to generate a test pattern from the seed and the locations of scan inputs. The decompressor includes a first test pattern generator, a second test pattern generator, and a selector configured to select the test pattern generated by the first test pattern generator or the test pattern generated by the second test pattern generator using the locations of scan inputs.
    Type: Application
    Filed: November 5, 2008
    Publication date: May 7, 2009
    Applicant: NEC Laboratories America, Inc.
    Inventors: Kedarnath Balakrishnan, Seongmoon Wang, Wenlong Wei, Srimat T. Chakradhar
  • Patent number: 7526703
    Abstract: The invention provides a method of test pattern generation for an integrated circuit (IC) design simulation system, comprising merging at least 2 test vectors into a merged vector, wherein each test defines a set of test behaviors, and compiling and linking the merged vector using the IC design simulation system to generate a merged test pattern able to perform each set of test behaviors independently.
    Type: Grant
    Filed: July 11, 2006
    Date of Patent: April 28, 2009
    Assignee: Via Technologies, Inc.
    Inventors: Min-Shu Wang, Chun-You Wang, Chun-Chih Yang
  • Publication number: 20090106615
    Abstract: Random numbers output from a random-number generation circuit, for which an optimized control parameter is set, at a predetermined timing after power-on reset are obtained after each power-on reset, by repeating the power-on reset with respect to a system LSI for a preset number of times, and a test of the obtained predetermined number of random numbers is performed by using a test circuit incorporated in the system LSI to determine the quality of the random-number generation circuit incorporated in the system LSI.
    Type: Application
    Filed: October 16, 2008
    Publication date: April 23, 2009
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Hideaki Nakakita
  • Patent number: 7523367
    Abstract: The present invention is directed to a system, method and article of manufacture for testing and design verification of hardware devices by providing for random accesses to the registers of a device under test. Such random accesses may more closely resemble actual accesses to the registers of a device during normal operation, thus providing a more thorough test.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: April 21, 2009
    Assignee: International Business Machines Corporation
    Inventors: Gerald G. Fagerness, Terry J. Opie, Paul E. Schardt, David E. Wood
  • Patent number: 7519889
    Abstract: A method to reduce logic built in self test manufacturing test time of integrated circuits, comprising: loading a plurality of test seeds in bulk into a locally accessible on-chip memory array locally disposed on an integrated circuit, each of the plurality of test seeds is associated with a set of LBIST control information; sending the plurality of test seeds from the locally accessible on-chip memory array repetitively into a pseudo-random pattern generator one at a time during an LBIST operation being under the control from the set of LBIST control information; generating random bit streams serially into a plurality of parallel shift registers of the integrated circuit through the use of the plurality of test seeds; and performing a logic built-in self test on a plurality of logic blocks in the integrated circuit to detect defects within the integrated circuit.
    Type: Grant
    Filed: April 1, 2008
    Date of Patent: April 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Daniel W. Cervantes, Joshua P. Hernandez, Tung N. Pham, Timothy M. Skergan
  • Patent number: 7519886
    Abstract: We describe, in exemplary embodiments, an on-chip Functional Built-In Self Test (“FBIST”) mechanism for testing integrated circuits with internal memory state and complex transaction based interfaces. Such interfaces include system-on-chip applications, memory chip applications, and input/output (“IO”) protocol adapter chips.
    Type: Grant
    Filed: January 5, 2006
    Date of Patent: April 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Michael M. Tsao, R. Brett Tremaine
  • Patent number: 7490275
    Abstract: A method and apparatus for evaluating and optimizing a signaling system is described. A pattern of test information is generated in a transmit circuit of the system and is transmitted to a receive circuit. A similar pattern of information is generated in the receive circuit and used as a reference. The receive circuit compares the patterns. Any differences between the patterns are observable. In one embodiment, a linear feedback shift register (LFSR) is implemented to produce patterns. An embodiment of the present disclosure may be practiced with various types of signaling systems, including those with single-ended signals and those with differential signals. An embodiment of the present disclosure may be applied to systems communicating a single bit of information on a single conductor at a given time and to systems communicating multiple bits of information on a single conductor simultaneously.
    Type: Grant
    Filed: November 13, 2006
    Date of Patent: February 10, 2009
    Assignee: Rambus Inc.
    Inventors: Jared Zerbe, Pak Shing Chau, William Franklin Stonecypher
  • Patent number: 7490281
    Abstract: A segmented algorithmic pattern generator engine producing a test signal pattern made of vectors divided into fully definable segments. The engine allows defining processing controls to allow offsets of individual vectors relative to one another and defining additional pattern control formats. Also provided are reducing the pattern format depths in defining counter dimensions within each segment. Single vectors or vector group sequences may be defined at any point as well. The system allows the user control of the pattern generator to compensate for tool and/or device under test latency timing issues. Inputs may be combined and processed into one contiguous pattern of vectors which are definable by the user.
    Type: Grant
    Filed: July 6, 2007
    Date of Patent: February 10, 2009
    Assignee: International Business Machines Corporation
    Inventors: Amy J. Gottsche, Philip Theodoseau
  • Publication number: 20090037787
    Abstract: Apparatus for testing a random number generator includes a random number generating unit that generates and outputs random numbers, and a switching unit that receives the random numbers from the random number generating unit and selectively transmits the random numbers in response to a switching control signal. A test unit performs a basic test on the random numbers to determine whether the transmitted random numbers are within a statistical range, controls the generation of random numbers according to a result of the basic test, and outputs the switching control signal based on whether a test suite is finished.
    Type: Application
    Filed: October 29, 2007
    Publication date: February 5, 2009
    Inventors: Ihor Vasyltsov, Young-sik Kim, Hambardzumyan Eduard
  • Patent number: RE41187
    Abstract: A technique to reduce the test data volume and number of scan shift clocks per test pattern by combining the scan inputs with existing values in scan chains and inserting them at additional bit positions along the scan chains in order to reduce the number of shift clocks required to achieve required values at plurality of scan bit positions, and by using multiple taps from the scan chains to form a check-sum in order to reduce the number of scan shift clocks to capture test results.
    Type: Grant
    Filed: March 13, 2008
    Date of Patent: March 30, 2010
    Inventor: Laurence H. Cooke