Random Pattern Generation (includes Pseudorandom Pattern) Patents (Class 714/739)
  • Patent number: 7487419
    Abstract: Methods, apparatus, and systems for testing integrated circuits using one or more boundary scan cells are disclosed. The methods, apparatus, and systems can be used, for example, to apply at-speed test patterns through one or more boundary scan cells. For instance, in one exemplary nonlimiting embodiment, a circuit is disclosed comprising one or more boundary scan cells coupled to primary input ports or primary output ports of a circuit-under-test. The circuit further includes a boundary scan cell controller configured to apply test control signals to the one or more boundary scan cells. In this embodiment, the controller is configured to operate in a mode of operation whereby the controller applies test control signals to the one or more boundary scan cells that correspond to test control signals used to control one or more internal scan chains of the circuit-under-test during testing.
    Type: Grant
    Filed: December 16, 2005
    Date of Patent: February 3, 2009
    Inventors: Nilanjan Mukherjee, Jay Jahangiri, Ronald Press, Wu-Tung Cheng
  • Patent number: 7486725
    Abstract: A bit error rate tester and a pseudo random bit sequences (PRBS) generator thereof are provided. The bit error rate tester includes a transmitter PRBS generator, a master PRBS generator, a slave PRBS generator, a comparator, and a counting unit. The transmitter PRBS generator generates a parallel N-bit (N is an integer larger than 1) original PRBS, wherein an object to be tested receives the original PRBS and outputs a parallel N-bit code to be tested. The master and the slave PRBS generators generate a master and a slave parallel N-bit PRBS, respectively. The comparator receives, compares, and determines whether the code to be tested, the master and the slave PRBS are the same or not, and outputs a comparison result. The counting unit coupling to the comparator counts a number of bit errors based on the comparison result.
    Type: Grant
    Filed: March 9, 2005
    Date of Patent: February 3, 2009
    Assignee: National Chiao Tung University
    Inventors: Wei-Zen Chen, Guan-Sheng Huang
  • Patent number: 7484151
    Abstract: Disclosed is a logic testing system that includes a decompressor and a tester in communication with the decompressor. The tester is configured to store a seed and locations of scan inputs and is further configured to transmit the seed and the locations of scan inputs to the decompressor. The decompressor is configured to generate a test pattern from the seed and the locations of scan inputs. The decompressor includes a first test pattern generator, a second test pattern generator, and a selector configured to select the test pattern generated by the first test pattern generator or the test pattern generated by the second test pattern generator using the locations of scan inputs.
    Type: Grant
    Filed: October 3, 2006
    Date of Patent: January 27, 2009
    Assignee: NEC Laboratories America, Inc.
    Inventors: Kedarnath Balakrishnan, Seongmoon Wang, Wenlong Wei, Srimat T. Chakradhar
  • Publication number: 20090024894
    Abstract: During a test pattern build, a test pattern generator pseudo-randomly selects an address for a selected lwarx instruction and builds the lwarx instruction using the pseudo-random address into a test pattern. Subsequently, the test pattern generator builds a store instruction after the lwarx instruction using the pseudo-random address. The store instruction is adapted to store the pseudo-random address in a predetermined memory location. The test pattern generator also builds an interrupt service routine that services an interrupt associated with the interrupt request; checks the predetermined memory location; determines that the pseudo-random address is located in the predetermined memory location; and executes a subsequent lwarx instruction using the pseudo-random address.
    Type: Application
    Filed: July 14, 2008
    Publication date: January 22, 2009
    Applicant: International Business Machines Corporation
    Inventors: Sampan Arora, Divya S. Anvekar, Manoj Dusanapudi, Sunil Suresh Hatti, Shakti Kapoor, Bhavani Shringari Nanjundiah
  • Patent number: 7478300
    Abstract: A method for testing functional boundary logic at an asynchronous clock boundary of an integrated circuit device is provided. With the method, each clock domain has its own scan paths that do not cross domain boundaries. By eliminating the scanning across the boundaries, the requirement to have two clock grids in the asynchronously clocked domains may be eliminated. As a result, circuit area and design time with regard to the clock distribution design are reduced. In addition, removing the second clock grid, i.e. the high speed core or system clock, in the asynchronously clocked domains removes the requirement to have a multiplexing scheme for selection of clocking signals in the asynchronous domain. In addition to the above, the system and method provide boundary built-in-self-test logic for testing the functional crossing logic of boundaries between the clock domains in a functional mode of operation.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: January 13, 2009
    Assignee: International Business Machines Corporation
    Inventors: Nathan P. Chelstrom, Steven R. Ferguson, Mack W. Riley
  • Patent number: 7478304
    Abstract: The present invention provides an apparatus and a computer program product for applying external clock and data patterns for TTP-LBIST. A simulation model for the logic under test is set up in a simulator. Next, a user sets up an external LBIST block, which comprises pre-verified internal clock and data pattern logic, and connects this block to the logic in the simulation model. The internal clock and data pattern logic provides the input patterns used in OPCG modes of LBIST. This internal clock and data pattern logic is already verified through the design effort. Therefore, the internal pattern generators become the external pattern generators in the simulation model. The external LBIST block applies the external clock and data patterns, and subsequently, the user receives and processes these output patterns to determine if the logic operates correctly.
    Type: Grant
    Filed: November 8, 2007
    Date of Patent: January 13, 2009
    Assignee: International Business Machines Corporation
    Inventors: Tilman Gloekler, Christian Habermann, Naoki Kiryu, Joachim Kneisel, Johannes Koesters
  • Patent number: 7475317
    Abstract: A method of generating digital test patterns for testing a number of wiring interconnects is described. A first set of test patterns is generated; the number of test patterns in the first set is related to said number of wiring interconnects, and defines a first set of code words. From the first set of code words, a second set of code words is selected. The number of code words in the second set is equal to said number of wiring interconnects, and the selection of the second set of code words is such that the sum of the transition counts for the code words in the second set is minimized.
    Type: Grant
    Filed: May 19, 2004
    Date of Patent: January 6, 2009
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Erik Jan Marinissen, Hubertus Gerardus Hendrikus Vermeulen, Hendrik Dirk Lodewijk Hollmann
  • Publication number: 20080320352
    Abstract: As described herein, circuit testing algorithms, or portions thereof, can be executed in a distributed manner so that their execution can be over a network of processors. In one aspect, the results that are obtained by such distributed execution are ensured to be consistent with the results that would be obtained by executing them in a non-distributed manner. Thus, in one aspect, the algorithms, or portions thereof, have to be made distributable. The algorithms, or portions thereof, are made distributable by isolating any random number generation therewith to be independent of each other. This isolation applies to any random number generation associated with different call instances of the same algorithm as well. In one aspect, the isolation is accomplished by ensuring that the calculation of random number sequences for the algorithms, or portions thereof, is not dependent on random number sequences calculated for the others or between call instances of the same algorithm.
    Type: Application
    Filed: August 25, 2008
    Publication date: December 25, 2008
    Inventors: Jon Udell, Chen Wang, Mark Kassab, Janusz Rajski
  • Patent number: 7461308
    Abstract: A method for testing semiconductor chips is disclosed. In one embodiment, a chip to be tested which has a test logic, at least one test mode is set, the test modes are executed in the chip and test results or the status of the test modes are output from the chip. The method includes providing a chip having at least one first register set having a plurality of registers and at least one second register set having a plurality of registers, at least one register of the first register set and at least one register of the second register set being 1:1 logically combined with one another. A first serial bit string is stored, the bit sequence of which can be assigned to at least one test mode, in the first register set. A bit sequence is transmitted for application of the logical combination between the first register set and the second register set to the first bit string stored in the first register set. The test results are read out by means of a serial second bit string.
    Type: Grant
    Filed: November 28, 2005
    Date of Patent: December 2, 2008
    Assignee: Infineon Technologies AG
    Inventors: Jochen Kallscheuer, Udo Hartmann, Patric Stracke
  • Patent number: 7454680
    Abstract: A method, system and computer program product for generating a coverage model to describe a testing scheme for a simulated system are described. In a preferred embodiment, a simulated system is tested with a testing simulation program. A simple event database is generated with the testing simulation program. Results of a checker analysis from the testing with the testing simulation program are obtained, and coverage data is created from a coverage model configuration file, the simple event database and the results of the checker analysis.
    Type: Grant
    Filed: July 30, 2004
    Date of Patent: November 18, 2008
    Assignee: International Business Machines Corporation
    Inventors: Steven Robert Farago, Jason Raymond Baumgartner, Claude Karl Detjens, Anita Devadason
  • Patent number: 7454676
    Abstract: A method for testing semiconductor chips having a test logic unit includes: providing a chip having n different register sets, each of which has m different registers that are subdivided into m register groups each having n registers, each register group respectively having only one individual register from a register set, the m register groups being uniquely identifiable using m headers; programming the m different register groups by filling them with m first bit strings, each bit string being respectively assignable to a state of n test modes; transmitting at least one header to select a register group and the state of the n test modes and executing the state of n test modes stored in the selected register group; and using a serial second bit string to read out test results or the status of the test modes.
    Type: Grant
    Filed: November 29, 2005
    Date of Patent: November 18, 2008
    Assignee: Infineon Technologies AG
    Inventors: Udo Hartmann, Jochen Kallscheuer, Patric Stracke
  • Publication number: 20080276139
    Abstract: Self-synchronizing techniques for checking the accuracy of a pseudorandom bit sequence (PRBS) are provided. The PRBS being checked may be generated by a device (e.g., a device under test) in response to a PRBS received by the device (e.g., from a PRBS generator). In an aspect of the invention, a PRBS checking technique includes the following steps/operations. For a given clock cycle, the presence of an error bit in the PRBS generated by the device is detected. The error bit represents a mismatch between the PRBS input to the device and the PRBS output from the device. Then, propagation of the error bit is prohibited for subsequent clock cycles. The prohibition step/operation may serve to avoid multiple errors being counted for a single error occurrence and/or masking errors in the PRBS output by the device.
    Type: Application
    Filed: July 16, 2008
    Publication date: November 6, 2008
    Applicant: International Business Machines Corporation
    Inventors: Mohit Kapur, Seongwon Kim
  • Patent number: 7447954
    Abstract: A method of testing a memory module comprising converting a hub of the memory module into a transparent mode, providing first data corresponding to a first address to the hub of the memory module, providing the first data of the hub of the memory module to a first address of a memory, providing first expected data to the hub of the memory module, outputting second data stored at the first address of the memory to the hub of the memory module, and comparing the second data with the first expected data.
    Type: Grant
    Filed: May 2, 2005
    Date of Patent: November 4, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung-Man Shin, Byung-Se So, Seung-Jin Seo, You-Keun Han
  • Patent number: 7447966
    Abstract: Exemplary techniques for verifying a hardware design are described. In a described embodiment, a method comprises compiling an error verification object corresponding to an error verification command to verify a portion of a hardware design of a device under test. The error verification object is compiled in accordance with data provided by an error scripting module. The error scripting module has access to hardware-specific data corresponding to the hardware design of the device under test. The compiled object is sent to the device under test and a response to the compiled object is received from the device under test. The received response from the device under test is parsed in accordance with data provided by the error scripting module.
    Type: Grant
    Filed: January 5, 2005
    Date of Patent: November 4, 2008
    Assignee: Hewlett-Packard Development Company
    Inventors: Anand V. Kamannavar, Nathan Dirk Zelle, Bradley Forrest Bass, Sahir Shiraz Hoda, Erich Matthew Gens
  • Patent number: 7444558
    Abstract: A serial point to point link that communicatively couples an integrated circuit (IC) device to another IC device is initialized by transferring a training sequence of symbols over the link. Registers of the IC device are programmed, to set a symbol data pattern and configure a lane transmitter for the link. A start bit in a register of the IC device is programmed, to request that the link be placed in a measurement mode. In this mode, the IC device instructs the other IC device to enter a loopback mode for the link. The IC device transmits a sequence of test symbols over the link and evaluates a loopback version of the sequence for errors. The sequence of test symbols have a data pattern, and are transmitted, as configured by the registers. Other embodiments are also described and claimed.
    Type: Grant
    Filed: December 31, 2003
    Date of Patent: October 28, 2008
    Assignee: Intel Corporation
    Inventors: Suneel G. Mitbander, Cass A. Blodgett, Andrew W. Martwick, Lyonel Renaud, Theodore Z. Schoenborn
  • Patent number: 7441172
    Abstract: An embodiment includes encoding parallel digital data into encoded and parallel digital data in an encoder and generating parallel test data in a pseudo-random binary sequence generator circuit. The encoded and parallel digital data is coupled through a multiplexer to be serialized in a serializer in a normal mode of operation and the parallel test data is coupled through the multiplexer to be serialized in the serializer in a test mode of operation. Encoded and serial digital data are transmitted to a transmission medium in the normal mode, and serial test data are transmitted to the transmission medium in the test mode. The encoder, the serializer, the sequence generator circuit, and the multiplexer may be fabricated in a single integrated circuit chip. The parallel test data may be parallel pseudo-random binary sequence data. The parallel digital data may include data to generate colors in a visual image.
    Type: Grant
    Filed: January 12, 2006
    Date of Patent: October 21, 2008
    Assignee: Micron Technology, Inc.
    Inventors: David J. Warner, Ken S. Hunt, Andrew M. Lever
  • Patent number: 7437261
    Abstract: A distributed operating system for a semiconductor test system, such as automated test equipment (ATE), is described. The operating system includes a host operating system for enabling control of one or more site controllers by a system controller. One or more local operating systems, each associated with a site controller, enable control of one or more test modules by an associated site controller. Each test module performs testing on a corresponding device-under-test at a test site.
    Type: Grant
    Filed: February 6, 2004
    Date of Patent: October 14, 2008
    Assignee: Advantest Corporation
    Inventors: Ankan Pramanick, Mark Elston, Leon Chen, Robert Sauer
  • Publication number: 20080222474
    Abstract: In a linear feedback shift register (LFSR), a four-bit shift register mainly using F/Fs is formed and an XOR circuit that feeds back an exclusive OR of a first bit and a last bit to the first bit is also provided, thereby outputting a test pattern having a maximum cycle of 15. A phase change circuit that can perform arbitrary phase change of a test pattern based on input of a control signal having a maximum clock number 4 and an average clock number log24 is also formed in the LFSR. As a result, a smaller clock count is required for the LFSR to output a test pattern that matches a test pattern automatically generated by an ATPG.
    Type: Application
    Filed: March 6, 2008
    Publication date: September 11, 2008
    Applicant: FUJITSU LIMITED
    Inventors: Takahisa Hiraide, Tatsuru Matsuo
  • Patent number: 7424417
    Abstract: A method and system are disclosed, in a simulation of a design of a digital integrated circuit chip, to limit a number of scan test clocks and chip ports used for testing the chip. Clock domains are identified within the design of the chip that are independent of each other. The independent clock domains are grouped together, within said chip design, to form clock domain groups. A timing analysis is performed on the design of the chip by clocking the clock domain groups each with an independent scan test clock. The scan test clocks originate externally to the design and by-pass, within the chip design, the corresponding internal clocks. Capture mode violations are recorded from the timing analysis and are used to go back and form new clock domain groups, thereby repeating the method until no capture mode violations are generated.
    Type: Grant
    Filed: November 19, 2002
    Date of Patent: September 9, 2008
    Assignee: Broadcom Corporation
    Inventor: Amar Guettaf
  • Publication number: 20080215945
    Abstract: A system and method for verifying system-on-chip interconnect includes a first linear feedback shift register coupled to an output interface of a first system-on-chip component, a second linear feedback shift register instantiated in a second system-on-chip component, and a comparator coupled to the second linear feedback shift register and the input interface of the second system-on-chip. Another method for verifying includes generating a pseudo-random number sequence with the first linear feedback shift register and the second linear feedback shift register using an identical first initial state, and comparing an output of the first linear feedback shift register with an output of the second linear feedback shift register and reporting a miss-compare.
    Type: Application
    Filed: June 28, 2007
    Publication date: September 4, 2008
    Applicant: International Business Machines Corporation
    Inventors: Serafino Bueti, Adam Courchesne, Kenneth J. Goodnow, Gregory J. Mann, Jason M. Norman, Stanley B. Stanski, Scott T. Vento
  • Patent number: 7421629
    Abstract: The invention relates to a semi-conductor component test procedure, and a semiconductor component test device (10b), which comprise: a device (43) for generating pseudo-random address values to be applied to corresponding address inputs of a semi-conductor component (2b), in particular a memory component, to be tested.
    Type: Grant
    Filed: October 20, 2005
    Date of Patent: September 2, 2008
    Assignee: Infineon Technologies AG
    Inventors: Thorsten Bucksch, Martin Meier
  • Patent number: 7421637
    Abstract: Generating test input includes initializing a current pseudo-random value at a test input generator coupled to a circuit component. Write data is received from the circuit component. The following are repeated to generate next pseudo-random values as test input. The current pseudo-random value and the write data are retrieved. A next pseudo-random value is generated from the current pseudo-random value and the write data according to a generation function. The next pseudo-random value is transferred to the circuit component as the test input.
    Type: Grant
    Filed: January 16, 2003
    Date of Patent: September 2, 2008
    Assignee: Cisco Technology, Inc.
    Inventors: Marvin W. Martinez, Jr., David B. Erickson
  • Patent number: 7415648
    Abstract: A method for testing a network interface is provided that includes generating a data pattern file based on a pseudocode file and testing the interface using the data pattern file. The pseudocode file defines an order for a plurality of data patterns in the data pattern file. The data pattern file is provided to a testing device that may test the interface using the data pattern file by applying the data patterns from the data pattern file to the interface.
    Type: Grant
    Filed: July 9, 2004
    Date of Patent: August 19, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jordan C. Mott
  • Patent number: 7415649
    Abstract: The invention relates to a semi-conductor component test procedure, as well as to a semi-conductor component test device with a shift register, which comprises several memory devices from which pseudo-random values (BLA, COL, ROW) to be used for testing a semi-conductor component are able to be tapped and emitted at corresponding outputs of the semi-conductor component test device, whereby the shift register comprises at least one further memory device, from which a further pseudo-random value (VAR) is able to be tapped and whereby a device is provided, with which the further pseudo-random value (VAR) can selectively, if needed, be emitted at at least one corresponding further output of the semi-conductor component test device.
    Type: Grant
    Filed: October 20, 2005
    Date of Patent: August 19, 2008
    Assignee: Infineon Technologies AG
    Inventor: Thorsten Bucksch
  • Publication number: 20080195907
    Abstract: The object being to develop an integrated circuit arrangement (100) with at least one application circuit (40) to be tested, and with at least one self-test circuit (10, 20, 32, 34, 36, 50) provided for testing the application circuit (40) and generating at least one pseudo-random test sample, wherein said pseudo-random test sample can be converted into at least one test vector that is programmable and/or deterministic and that can be supplied to the application circuit (40) for testing purposes via at least one logic gate (32, 34, 36) and by means of at least one signal that can be applied to said logic gate (32, 34, 36), and wherein the output signal arising in dependence on the deterministic test vector can be evaluated by the application circuit (40) by means of at least one signature register (50), as well as a method of testing the application circuit (40) present in the integrated circuit arrangement (100) by means of the self-test circuit (10, 20, 32, 34, 36, 50) further such that the B[uild-]I[n]S[el
    Type: Application
    Filed: June 27, 2005
    Publication date: August 14, 2008
    Applicant: NXP B.V.
    Inventors: Michael Wittke, Friedrich Hapke
  • Patent number: 7412640
    Abstract: Self-synchronizing techniques for checking the accuracy of a pseudorandom bit sequence (PRBS) are provided. The PRBS being checked may be generated by a device (e.g., a device under test) in response to a PRBS received by the device (e.g., from a PRBS generator). In an aspect of the invention, a PRBS checking technique includes the following steps/operations. For a given clock cycle, the presence of an error bit in the PRBS generated by the device is detected. The error bit represents a mismatch between the PRBS input to the device and the PRBS output from the device. Then, propagation of the error bit is prohibited for subsequent clock cycles. The prohibition step/operation may serve to avoid multiple errors being counted for a single error occurrence and/or masking errors in the PRBS output by the device.
    Type: Grant
    Filed: August 28, 2003
    Date of Patent: August 12, 2008
    Assignee: International Business Machines Corporation
    Inventors: Mohit Kapur, Seongwon Kim
  • Publication number: 20080178055
    Abstract: A test pattern generation circuit has multiple pseudo random number generation circuits and a clock control circuit. The pseudo random number generation circuits are provided corresponding to the respective signal lines in a bus wiring, and have predetermined first initial values, which take the same value. In response to first clock signals, the pseudo random number generation circuits generate pseudo random numbers including the first initial values as starting values. According to the value of a control signal, the clock control circuit determines the output-start timings of the first clock signals to be respectively provided to the multiple pseudo random number generation circuits.
    Type: Application
    Filed: January 23, 2008
    Publication date: July 24, 2008
    Applicant: NEC ELECTRONICS CORPORATION
    Inventor: Hisashi Nakamura
  • Patent number: 7404115
    Abstract: A self-synchronising data bus analyser comprising a generator LFSR, a receiver LFSR and a comparator wherein the generator LFSR generates a first data set which is transmitted through a data bus to the comparator; and wherein the comparator compares the first data set with a second data set generated by the receiver LFSR and adjusts the receiver LFSR until the second data set is substantially the same as the first data set.
    Type: Grant
    Filed: December 1, 2005
    Date of Patent: July 22, 2008
    Assignee: International Business Machines Corporation
    Inventors: Gerard Boudon, Didier Malcavet, David Pereira, Andre Steimle
  • Patent number: 7395478
    Abstract: A methodology for generating scan based transition patterns (i.e., ATPG pattern generation for transition delay faults (“TDF”)) wherein when either a slow-to-rise (STR) or a slow-to-fall (STF) transition fault is detected, that specific fault is removed from a fault universe as well as its companion TDF, wherein the companion fault is a fault on the same node as the detected fault but has the opposite transition. In other words, if a slow-to-rise (STR) transition fault is detected, the slow-to-rise (STR) transition fault is removed from the fault universe as well as its corresponding slow-to-fall (STF) transition fault (and vise versa). By removing companion faults as well as those which are specifically detected, pattern generation run time is reduced as well as the total pattern count for the final delay test pattern.
    Type: Grant
    Filed: March 7, 2007
    Date of Patent: July 1, 2008
    Assignee: LSI Corporation
    Inventor: Robert B. Benware
  • Patent number: 7386776
    Abstract: In order to test digital modules with functional elements, these are divided into test units (3) which respectively have inputs and outputs. Alternating test patterns are applied to the inputs of the test unit (3), and the test responses resulting from this are evaluated at the outputs of the test unit (3). The effect is then encountered that changes at each of the inputs of a test unit (3) do not all affect a particular output of this test unit (3). For every output of the test unit (3), it is possible to define a cone (5) whose apex is formed by the particular output of the test unit (3) and whose base comprises the inputs of the test unit (3) where, and only where, changes affect the particular output. According to the invention, the test pattern to be applied to the inputs of the test unit (3) is constructed of sub-patterns, whose length is in particular ? the number of inputs of the test unit (3) that are contained in the base of a cone (5).
    Type: Grant
    Filed: May 14, 2003
    Date of Patent: June 10, 2008
    Assignee: Infineon Technologies AG
    Inventors: Ralf Arnold, Matthias Heinitz, Siegmar Köppe, Volker Schöber
  • Patent number: 7366650
    Abstract: A verification environment is provided that co-verifies a software component 8 and a hardware component 10. Within the same environment using a common test controller 18 both hardware stimuli and software stimuli may be applied to their respective simulators. The response of both the software and the hardware to the simulation conducted can be monitored to check for proper operation.
    Type: Grant
    Filed: February 22, 2002
    Date of Patent: April 29, 2008
    Assignee: ARM Limited
    Inventors: Andrew Mark Nightingale, Alistair Crone Bruce
  • Patent number: 7363567
    Abstract: Disclosed is a system and method for testing electronic devices which uses a random pattern for testing electronic devices. In one embodiment there is communicated to a device under test (DUT) a test sequence causing the DUT to exercise certain parameters in a controlled pattern of operation. The test sequence is randomly created. In one embodiment this random creation is controlled by a random looping algorithm which controls both the order of and the magnitude of each parameter. Included, if desired, is the ability to selectively retransmit previously communicated test sequences.
    Type: Grant
    Filed: August 28, 2003
    Date of Patent: April 22, 2008
    Assignee: Agilent Technologies, Inc.
    Inventor: Robert A. Rands
  • Patent number: 7360127
    Abstract: A method and apparatus for evaluating and optimizing a signaling system is described. A pattern of test information is generated in a transmit circuit of the system and is transmitted to a receive circuit. A similar pattern of information is generated in the receive circuit and used as a reference. The receive circuit compares the patterns. Any differences between the patterns are observable. In one embodiment, a linear feedback shift register (LFSR) is implemented to produce patterns. An embodiment of the present disclosure may be practiced with various types of signaling systems, including those with single-ended signals and those with differential signals. An embodiment of the present disclosure may be applied to systems communicating a single bit of information on a single conductor at a given time and to systems communicating multiple bits of information on a single conductor simultaneously.
    Type: Grant
    Filed: June 6, 2006
    Date of Patent: April 15, 2008
    Assignee: Rambus Inc.
    Inventors: Jared Zerbe, Pak Shing Chau, William Franklin Stonecypher
  • Patent number: 7360138
    Abstract: A method, apparatus, and computer program product for performing verification on an integrated circuit design having state variables. Random vectors are generated, used to simulate the design, and generate a set of values for the state variables. The generated values are compared to groups having stored values from previous stimulations and either a new group is created for the generated set of values or the existing groups accurately represent the generated set of values and they are stored in one of the existing groups.
    Type: Grant
    Filed: February 23, 2006
    Date of Patent: April 15, 2008
    Assignee: International Business Machines Corporation
    Inventors: Jesse Ethan Craig, Suzanne Granato, Francis A. Kampf, Barbara L. Powers
  • Patent number: 7343533
    Abstract: A hub for testing memory and methods thereof. The hub may include a test block a test block and a transparent mode block. The test block may be configured to generate a pseudo random pattern based on received memory control information and to write the pseudo random pattern to at least one of a plurality of memory devices in the first operating mode. The transparent mode block may be configured to receive the generated pseudo random pattern from the test block, to read the pseudo random pattern from the at least one of the plurality of memory devices in the first operating mode and to compare the generated pseudo random pattern with the read pseudo random pattern. Also, the hub may perform a transparent mode test on at least one memory device of a memory module with a pseudo random data pattern, the pseudo random data pattern based at least in part on memory control information received from a device not included within the memory module.
    Type: Grant
    Filed: October 28, 2005
    Date of Patent: March 11, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kee-Hoon Lee, Seung-Man Shin
  • Patent number: 7333518
    Abstract: A transmission method according to the present invention is capable of transmitting and receiving a data signal and information signal among a plurality of devices by full-duplex operation, wherein, when the information signal consecutively repeats a single pattern, a different pattern is inserted between the same patterns before transmission thereof. This minimizes an adverse effect of crosstalk jitter over a transmission line susceptible to crosstalk, and reduces a margin between the transition and sampling point of a signal, thereby suppressing the cost of a CDR circuit.
    Type: Grant
    Filed: June 19, 2001
    Date of Patent: February 19, 2008
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Koji Sakai, Yuji Ichikawa, Masafumi Takahashi, Hitoshi Naoe
  • Patent number: 7325182
    Abstract: The invention relates to a method for testing electrical modules. A test pattern of input signals is applied to each module to be tested as test specimen, and the actual responses of the test specimen to the test pattern is compared with the desired responses. The comparison result is evaluated for the purpose of displaying test assessments. According to one embodiment of the invention, to supply the desired responses, a reference module produced with the same design and technology as the test specimen and tested as entirely satisfactory is utilized. The same test pattern as for the test specimen is applied to the reference module. The invention furthermore relates to circuit arrangements for carrying out this method, in particular for testing data memories.
    Type: Grant
    Filed: March 7, 2005
    Date of Patent: January 29, 2008
    Assignee: Infineon Technologies AG
    Inventor: Peter Poechmueller
  • Patent number: 7315973
    Abstract: An apparatus for and method of generating test cases for testing simulated logic circuit designs. The test cases are basically generated automatically in a random fashion, manually, or using some combination of automatic and manual techniques. Each test case has a corresponding success indication. These test cases are provided to the simulated logic design for execution. Following execution, each test case is rated pass or fail by comparison of the result with the corresponding success indication and a reason for failure is recorded for each failure. A significantly smaller list of test cases is prepared by eliminating test cases which do not have a unique reason for failure. The smaller list of test cases is then presented for a simulation run which requires substantially less simulator time and substantially less manual analysis of the results.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: January 1, 2008
    Assignee: Unisys Corporation
    Inventor: Ashley K. Wise
  • Patent number: 7313738
    Abstract: A system and method for verifying system-on-chip interconnect includes a first linear feedback shift register coupled to an output interface of a first system-on-chip component, a second linear feedback shift register instantiated in a second system-on-chip component, and a comparator coupled to the second linear feedback shift register and the input interface of the second system-on-chip. Another method for verifying includes generating a pseudo-random number sequence with the first linear feedback shift register and the second linear feedback shift register using an identical first initial state, and comparing an output of the first linear feedback shift register with an output of the second linear feedback shift register and reporting a miss-compare.
    Type: Grant
    Filed: February 17, 2005
    Date of Patent: December 25, 2007
    Assignee: International Business Machines Corporation
    Inventors: Serafino Bueti, Adam Courchesne, Kenneth J. Goodnow, Gregory J. Mann, Jason M. Norman, Stanley B. Stanski, Scott T. Vento
  • Patent number: 7298779
    Abstract: The present invention relates to the fast code acquisition methods based on signed-rank statistic. In more detail, it presents novel detectors required for PN (PN) code acquisition in DS/SS system. In accordance with the present invention, first, the LOR (LOR) detector is derived and then the LSR (LSR) and MSR (MSR) detectors using approximate score functions are proposed. It is compared the single-dwell scheme without the verification mode using the proposed LSR and MSR detectors with that using the conventional squared-sum (SS) and modified sign (MS) detectors.
    Type: Grant
    Filed: May 28, 2002
    Date of Patent: November 20, 2007
    Assignee: Korea Advanced Institute of Science and Technology
    Inventors: Lick Ho Song, Hong Gil Kim, Chang Yong Jung
  • Patent number: 7299392
    Abstract: A semiconductor integrated circuit device having a test clock generating circuit enabling a high performance test operation and a method of designing a semiconductor integrated circuit device enabling setting of high precision timing margins is disclosed. A test clock generating circuit having a register sequential circuit and a clock output control circuit is provided between a pulse generating circuit and a logic circuit. When a test operation is active, transfer of a clock pulse generated in the pulse generating circuit to the logic circuit is stopped and a test clock pulse operating the logic circuit is outputted using a pulse signal generated in the pulse generating circuit by controlling a clock transfer control circuit with the sequential circuit depending on setting information of a register. The test clock generating circuit is comprised using a logic design tool utilizing a computer in order to test logic circuit functions and timing margins.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: November 20, 2007
    Assignee: Hitachi, Ltd.
    Inventors: Hisakazu Date, Toyohito Ikeya, Masatoshi Kawashima
  • Patent number: 7299394
    Abstract: The purpose of the invention is to determine an optimum initial value to be input to a test pattern generator in order to achieve efficient testing of an integrated circuit. To achieve this purpose, a minimum test length is obtained by performing a fault simulation and a reverse-order fault simulation using an arbitrarily given initial value; the next initial value that is likely to yield a test length shorter than the minimum test length is computed and a fault simulation is performed using the thus computed initial value; and the next initial value that is likely to yield a test length shorter than that test length is computed and a fault simulation is performed using the thus computed initial value. By repeating this process, an initial value that yields the shortest test length is obtained.
    Type: Grant
    Filed: March 28, 2003
    Date of Patent: November 20, 2007
    Assignee: Semiconductor Technology Academic Research Center
    Inventors: Ken-ichi Ichino, Masayuki Arai, Satoshi Fukumoto, Kazuhiko Iwasaki, Takeshi Shoda, Masayuki Sato
  • Patent number: 7284177
    Abstract: Method, apparatus, and computer readable medium for functionally verifying a physical device under test (DUT) is described. In one example, verification test data is generated for the physical DUT using a constraint-based random test generation process. For example, the architecture, structure, and/or content of the verification test data may be defined in response to constraint data and an input/output data model. A first portion of the verification test data is applied to the physical DUT. Output data is captured from the physical DUT in response to application of the first portion of the verification test data. A second portion of the verification test data is selected in response to the output data. Expected output data for the physical DUT associated with the verification test data may be generated and compared with the output data captured from the DUT to functionally verify the design of the DUT.
    Type: Grant
    Filed: March 31, 2005
    Date of Patent: October 16, 2007
    Assignee: Verisity, Ltd.
    Inventors: Yoav Z. Hollander, Yaron E. Kashai
  • Patent number: 7275195
    Abstract: A built-in self-test circuit for use in testing a serializer/deserializer circuit includes a programmable transmit register that transmits data to the serializer/deserializer circuit having programmably varying characteristics. The built-in self-test circuit includes the transmit register that transmits data to the serializer/deserializer for processing into processed data, a receive register that receives the processed data from the serializer/deserializer, and an error detector that detects errors in the processed data.
    Type: Grant
    Filed: October 3, 2003
    Date of Patent: September 25, 2007
    Assignee: Avago Technologies General IP (Singapore) Pte. Ltd.
    Inventor: Antonio Marroig Martinez
  • Patent number: 7272756
    Abstract: Communications equipment can be tested using a test pattern that is modified compared to, and more exploitive than, a standard test pattern. Test patterns can be employed that have lengthened or shortened consecutive identical digit (CID) portions, or that have lengthened or shortened pseudo random bit sequence (PRBS) portions. In some cases, PRBS polynomials are not re-seeded after each CID. Further, different order polynomials can be employed for different applications. Exemplary applications can include test equipment and built-in self-test capability for integrated circuits.
    Type: Grant
    Filed: May 3, 2005
    Date of Patent: September 18, 2007
    Assignee: Agere Systems Inc.
    Inventors: Robert D. Brink, James Walter Hofmann, Jr., Max J. Olsen, Gary E. Schiessler, Lane A. Smith
  • Patent number: 7269773
    Abstract: A test program debugging apparatus of the present invention includes a device under test simulator and a semiconductor testing apparatus simulator. Further, the semiconductor testing apparatus simulator includes: a verification range acquiring unit that acquires a verification range that is a range of commands to be verified among commands included in the test program; a command simplifying unit that simplifies non-setting commands other than setting commands for setting the device under test simulator, among non-verification range commands included in a non-verification range that is a range other than the verification range within the test program; and a command executing unit that executes the verification range commands included in the verification range, the setting commands, and the non-setting commands simplified by the command simplifying unit.
    Type: Grant
    Filed: August 24, 2005
    Date of Patent: September 11, 2007
    Assignee: Advantest Corporation
    Inventors: Mitsuo Hori, Hideki Tada, Takahiro Kataoka, Hiroyuki Sekiguchi, Kazuo Mukawa
  • Patent number: 7263478
    Abstract: An extractor extracts descriptions unexecuted in the logic simulation according to code coverage information for the circuit description. An examiner examines whether or not there is a possibility of executing the extracted unexecuted descriptions. A prohibited-input-checker generator generates a test pattern. The test pattern is to execute descriptions including unexecuted descriptions that there is a possibility of executing and excluding unexecuted descriptions that there is no possibility of executing as determined by the examiner. The prohibited-input-checker generator also generates a prohibited-input checker to check whether or not an input pattern of a logic simulation to be carried out is equal to an input pattern of the test pattern to execute the unexecuted description if the test bench is regarded as a prohibited input under a specification at a logic simulation using the test pattern to execute the unexecuted description.
    Type: Grant
    Filed: September 25, 2001
    Date of Patent: August 28, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takehiko Tsuchiya
  • Patent number: 7240268
    Abstract: A test component and method of operation thereof are provided, the test component being arranged in a test environment to issue a test sequence over a bus to a device under test. A configuration file is provided to specify the behaviour of the test component, the configuration file comprising a plurality of regions with each region specifying attributes for use in determining the test sequence. The method of the present invention comprises the steps of: (a) when a test sequence is required to be issued, causing the test component to select, based on predetermined criteria, one of a number of regions provided by the configuration file; and (b) using the constraint attributes for that selected region to generate the test sequence to be issued on to the bus.
    Type: Grant
    Filed: May 30, 2003
    Date of Patent: July 3, 2007
    Assignee: Arm Limited
    Inventors: Christopher E Wrigley, Daniel J Coley, Andrew M Nightingale
  • Patent number: 7237166
    Abstract: A system and method for evaluating a multiprocessor system having multiple processors coupled via a system bus is disclosed. A test vector is executed on a processor under test. While the test vector is being executed, an instruction that causes a transaction to be issued on the system bus is executed on another processor, thereby stressing the first processor.
    Type: Grant
    Filed: October 23, 2002
    Date of Patent: June 26, 2007
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Christopher Todd Weller, Paul James Moyer
  • Patent number: 7234092
    Abstract: A technique to reduce the test data volume and number of scan shift clocks per test pattern by combining the scan inputs with existing values in scan chains and inserting them at additional bit positions along the scan chains in order to reduce the number of shift clocks required to achieve required values at plurality of scan bit positions, and by using multiple taps from the scan chains to form a check-sum in order to reduce the number of scan shift clocks to capture test results.
    Type: Grant
    Filed: January 24, 2003
    Date of Patent: June 19, 2007
    Assignee: On-Chip Technologies, Inc.
    Inventor: Laurence H. Cooke