Clock Or Synchronization Patents (Class 714/744)
  • Patent number: 6920003
    Abstract: A processor processes data read from plural data tracks on a data-containing medium. The data tracks include markers. The processor determines if markers are detected in a predetermined number of the tracks within a predetermined time interval starting with detection of a first marker. In first and second embodiments, the number equals all the read tracks and less than all the read tracks. In the second embodiment, the processor asserts the markers into the data associated with the tracks that do not have detected markers.
    Type: Grant
    Filed: August 2, 2002
    Date of Patent: July 19, 2005
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Rafel Jibry
  • Patent number: 6893973
    Abstract: Provided is a method of etching a silicon nitride film, which comprises subjecting the silicon nitride film located on copper to dry etching using a mixture of fluorocarbon gas and an inert gas as the reaction gas, the fluorocarbon gas containing CF4 and CHF3 supplied at flow rates in a ratio of 3:7 to 0:1 or contains CF4 and CH2F2 supplied at flow rates in a ratio of 2.5:1 to 0:1, thereby suppressing the formation of copper fluoride.
    Type: Grant
    Filed: April 3, 2003
    Date of Patent: May 17, 2005
    Assignee: NEC Electronics Corporation
    Inventor: Atsushi Nishizawa
  • Patent number: 6879927
    Abstract: A method of verifying test data for testing an integrated circuit device having multiple device time domains includes selecting a virtual tester time domain and, if the cycle duration of the virtual tester time domain is equal to the cycle duration of one of the multiple device time domains, translating the test data for each device time domain other than that one time domain to the virtual tester time domain and otherwise translating the test data for each device time domain to the virtual tester time domain. The translated test data is then applied to a device logic simulator that simulates integrated circuit device.
    Type: Grant
    Filed: July 21, 2003
    Date of Patent: April 12, 2005
    Assignee: Credence Systems Corporation
    Inventor: Ziyang Lu
  • Patent number: 6865707
    Abstract: Test data generator for generating test data patterns for the testing of a circuit having a frequency multiplication circuit, which increases a low clock frequency of an input clock signal received by a test unit with a specific clock frequency multiplication factor. Also provided is a plurality of data registers for storing test data words read from the data registers, and multiplexer that switches through a test data word read from a data register with the high clock frequency of the output clock signal to a data bus in a way dependent on a register selection control datum of a multi-position register selection control data vector.
    Type: Grant
    Filed: April 1, 2002
    Date of Patent: March 8, 2005
    Assignee: Infineon Technologies AG
    Inventors: Wolfgang Ernst, Gunnar Krause, Justus Kuhn, Jens Luepke, Jochen Mueller, Peter Poechmueller, Michael Schittenhelm
  • Patent number: 6859899
    Abstract: A data packet type communication system utilizes packet framing wherein preambles are split into two or more subpreambles, separated by a number of data or a priori known symbols. A receiver chooses among individual and combined subpreamble options for determining synchronization. When a noise impulse prevents detection of one subpreamble, the impulse is detected, and preamble correlation proceeds using an unaffected subpreamble. When no impulse is detected, combined subpreambles are used.
    Type: Grant
    Filed: May 15, 2001
    Date of Patent: February 22, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Ofir Shalvi, Daniel Wajcer
  • Patent number: 6839397
    Abstract: A circuit configuration for generating control signals for testing high-frequency synchronous digital circuits, especially memory chips, is described. A p-stage shift register which is clocked at a clock frequency corresponding to the high clock frequency of the digital circuit to be tested has connected to its parallel loading inputs p logical gates which logically combine a static control word with a dynamic n-position test word. The combined logical value is loaded into the shift register at a low-frequency loading clock rate so that a control signal, the value of which depends on the information loaded into the shift register in each clock cycle of the clock frequency of the latter is generated at the serial output of the shift register.
    Type: Grant
    Filed: July 18, 2001
    Date of Patent: January 4, 2005
    Assignee: Infineon Technologies AG
    Inventors: Wolfgang Ernst, Gunnar Krause, Justus Kuhn, Jens Lüpke, Jochen Müller, Peter Pöchmüller, Michael Schittenhelm
  • Patent number: 6836503
    Abstract: An apparatus that reduces sampling errors for data communicated between devices uses phase information acquired from a timing reference signal such as a strobe signal to align a data-sampling signal for sampling a data signal that was sent along with the timing reference signal. The data-sampling signal may be provided by adjustably delaying a clock signal according to the phase information acquired from the strobe signal. The data-sampling signal may also have an improved waveform compared to the timing reference signal, including a fifty percent duty cycle and sharp transitions. The phase information acquired from the timing reference signal may also be used for other purposes, such as aligning received data with a local clock domain, or transmitting data so that it arrives at a remote device in synchronism with a reference clock signal at the remote device.
    Type: Grant
    Filed: January 28, 2003
    Date of Patent: December 28, 2004
    Assignee: Rambus Inc.
    Inventors: Scott C. Best, Richard E. Warmke, David B. Roberts, Frank Lambrecht
  • Patent number: 6829728
    Abstract: A test circuit is disclosed for testing embedded synchronous memories. A BIST controller is used to address the memory and provide reference data that is compared to the memory output. Pipeline registers are used to allow the BIST controller to perform reads and/or writes during every clock cycle. In one aspect, the BIST controller includes a reference data circuit that stores or generates data for comparison to the memory output. A pipeline register is positioned before the reference data circuit or between the reference data circuit and compare circuitry. Additional pipeline registers may be positioned between a compare capture circuit and the compare circuitry. The pipeline registers free the BIST controller from having to wait for a read to complete before starting the next read or write. To reduce the number of pipeline registers needed, a negative-edge BIST controller can be used with a positive-edge memory or vice versa.
    Type: Grant
    Filed: March 5, 2001
    Date of Patent: December 7, 2004
    Inventors: Wu-Tung Cheng, Christopher John Hill, Omar Kebichi
  • Patent number: 6819140
    Abstract: A self-synchronous logic circuit includes scan test compliant registers holding data and forming stages of a pipeline, and scan test compliant self-synchronous signal control circuits corresponding to respective registers and performing handshake to transfer clocks. In accordance with the clocks transferred by the scan test compliant self-synchronous signal control circuits, data processing among the scan test compliant registers proceeds. In addition to normal data processing, the scan test compliant registers have a function of serially transferring contents thereof at the time of a test. The scan test compliant self-synchronous signal control circuits are set to a state that corresponds to the end of a third way of the handshake, at the time of a test.
    Type: Grant
    Filed: May 30, 2003
    Date of Patent: November 16, 2004
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Hidekazu Yamanaka, Takashi Horiyama
  • Patent number: 6800817
    Abstract: The semiconductor component is provided for connection to a test system. An external clock signal with a modulated duty ratio can be input to the semiconductor component at a connection provided for that purpose on the semiconductor component. The latter has a clock recovery circuit, which obtains a periodic clock signal from the modulated clock signal, and a shift register, to which the modulated clock signal can be fed in a manner clocked by the periodic clock signal and which provides a data signal. The present invention makes it possible, in particular in mass memory chips, to feed in clock signals and also program, address or data signals for the realization of BIST via just one connection contact.
    Type: Grant
    Filed: January 23, 2002
    Date of Patent: October 5, 2004
    Assignee: Infineon Technologies AG
    Inventors: Helmut Schneider, Robert Kaiser, Florian Schamberger
  • Patent number: 6802034
    Abstract: A test pattern generation circuit for use with a self-diagnostic circuit which produces a test pattern through use of a microinstruction code, which includes a memory device RAM/ROM which temporarily stores the microinstruction code and outputs two different instruction codes within one clock cycle; a selector SEL which receives output from the memory device and selectively delays the two instruction codes, thereby outputting one code; and a pattern generation circuit PG which produces a test pattern corresponding to output from the selector.
    Type: Grant
    Filed: January 31, 2002
    Date of Patent: October 5, 2004
    Assignees: Renesas Technology Corp., Ryoden Semiconductor System Engineering Corporation
    Inventors: Yukikazu Matsuo, Yoshihiro Nagura
  • Patent number: 6789219
    Abstract: In an arrangement for testing an integrated circuit comprising at least two circuit sections (1, 2) which in normal operation operate with at least two different clock signals, a minimal number of test runs for testing the integrated circuit is required because the integrated circuit to be tested is formed in such a way that each clock signal can be individually switched on and off during a test by test software provided in the arrangement, a software model of the circuit to be tested is provided in the arrangement, which software model comprises an X generator (38, 40) for those circuit components (33, 35) whose mode of operation is influenced by a plurality of clock signals and their skew behavior, which X generator is activated and supplies an X signal when more than one clock signal influencing the mode of operation of the circuit components (33, 35) during testing is activated, while, during testing, the test software initially activates all clock signals and evaluates test results for those circuit comp
    Type: Grant
    Filed: August 7, 2001
    Date of Patent: September 7, 2004
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Friedrich Hapke, Ruediger Solbach, Andreas Glowatz
  • Patent number: 6789224
    Abstract: Data output from a semiconductor device under test and a reference clock output therefrom in synchronization with the data are sampled by slightly phased-apart multiphase strobe pulses. The phases of points of change of the output data and the reference clock are obtained from the sampled outputs, then the phase difference between them is measured, and a check is made to determine if the phase difference falls within a predetermined range, thereby evaluating the semiconductor device under test on a pass/fail basis.
    Type: Grant
    Filed: January 16, 2001
    Date of Patent: September 7, 2004
    Assignee: Advantest Corporation
    Inventor: Takeo Miura
  • Patent number: 6785855
    Abstract: A system and method for implementing an assertion check in an ATPG scan cell is provided. The assertion check includes an error signal generator within a scan cell that generates an error signal when there is a violation of necessary conditions for testing the integrated circuit using APTG. According to the illustrative embodiment, the scan cell comprises a set-reset flip-flop paired with a latch. The flip-flop is used as a master storage element and the latch is used as a slave storage element to form a scan path. The master flip-flop and the slave latch are connected to form a shift register for shifting test data through the circuit under test. A system clock drives the standard operational mode of the storage elements and a shift clock drives the test mode. An enable clock is used to activate the system clock and switch the scan cell between the standard operational mode and the test mode.
    Type: Grant
    Filed: November 13, 2001
    Date of Patent: August 31, 2004
    Assignee: Sun Microsystems, Inc.
    Inventors: Aiteen Zhang, Joseph Siegel
  • Patent number: 6785858
    Abstract: A timing adjusting circuit is mounted on a semiconductor device. A reference signal TREFIN and signals TPa to TPx to be adjusted are supplied from a tester via transmission lines on a test jig. By gradually advancing phases of the signals TPa to TPx with respect to a trigger signal TRIG generated on the basis of the reference signal, the differences of transition timings of driver waveforms are held in a plurality of registers corresponding to the transmission lines. The data held by the plurality of registers is sent to the tester via a storage result outputting circuit. On the basis of the data, output timings of the driver waveforms can be adjusted by the tester with high accuracy.
    Type: Grant
    Filed: February 1, 2001
    Date of Patent: August 31, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Mitsutaka Niiro
  • Patent number: 6772382
    Abstract: A driver circuit for use on an integrated circuit tester. In one embodiment, the driver circuit has a timing circuit and a driver. The timing circuit has two or more inputs to receive data signals at a first frequency and at least one output. The timing circuit generates a control signal having a second higher frequency and outputs signals based on the data signals and the control signal such that the output signals are independent of the effects of timing skew and timing jitter of the data signals. The driver has at least one input coupled to the at least one output of the timing circuit to receive the output signals and couple the output signals to a device under test.
    Type: Grant
    Filed: May 2, 2001
    Date of Patent: August 3, 2004
    Assignee: Teradyne, Inc.
    Inventors: Scott D. Schaber, Scott C. Loftsgaarden
  • Publication number: 20040123207
    Abstract: A method for optimizing a source synchronous clock reference signal timing to capture data from a memory device (e.g., DDR SDRAM) includes conducting an iterative two-dimensional data eye search for optimizing the delay of the source synchronous clock reference signal (e.g., DQS). Embodiments of the present invention are directed to tuning the delay for each device for the optimal margin in two dimensions: maximize the distance from the data eye walls and maximize the noise margin on the interface. An iterative data eye search is performed while varying the DQS delay timing and noise margin.
    Type: Application
    Filed: December 19, 2002
    Publication date: June 24, 2004
    Applicant: Intel Corporation
    Inventors: John F. Zumkehr, John L. Bryan, Howard S. David, Klaus Ruff
  • Publication number: 20040123208
    Abstract: An apparatus for processing a data signal is provided. The apparatus comprises an acquisition unit of a test instrument for acquiring a data signal for a predetermined time, a memory of the test instrument for storing the data signal, and a clock recovery unit for recovering a clock signal from the stored data signal. A processor slices the stored data signal into a plurality of data segments of a predetermined length in accordance with the recovered clock signal.
    Type: Application
    Filed: September 29, 2003
    Publication date: June 24, 2004
    Inventors: Martin Miller, Yaron Habot, Joseph Schachner, Michael Schnecker, Peter J. Pupalaikis
  • Patent number: 6754869
    Abstract: For testing, a reference clock signal is applied to a first delay path having a fixed delay and a second delay path having a variable delay. The delay paths are connected to inputs of a clocked circuit to initiate data transfer and they apply a clock signal and a data signal, respectively. The variable delay is set within the range [tF−n&Dgr;t/2; tF+n&Dgr;t/2]. The fixed delay tF is at least n&Dgr;t/2. For calibration, the setting range of the variable delay and the fixed delay are each increased to the k-fold value and the variable delay is incremented in steps from n=0 until three phase changes are detected. The value of n at the first phase cycle completion corresponds to the variable delay for the set-up time and the value of n at the third phase cycle completion corresponds to the variable delay for the hold time.
    Type: Grant
    Filed: July 19, 2001
    Date of Patent: June 22, 2004
    Assignee: Infineon Technologies AG
    Inventors: Thorsten Bucksch, Ralf Schneider
  • Patent number: 6754868
    Abstract: A method and apparatus are provided for high speed testing of devices having either logic circuits, memory arrays or both. Apparatus (100) includes: (i) pin electronics (P/Es 145) each coupling the apparatus to one of a number of pins (115) on device (110); (ii) timing and format circuits (T/Fs 150) for mapping a signal to one of P/Es (100); (iii) pattern generator (140) having a number of outputs for outputting signals for testing device (110); (iv) pin scrambling circuit (155) between pattern generator (140) and T/Fs (150), the pin scrambling circuit capable of mapping at least two signals from any of the pattern generator outputs to any of the T/Fs; and (v) clock (135) for providing a clock signal having a clock cycle to pattern generator (140) and T/Fs (150). T/Fs (150) are capable of switching the signals coupled to P/Es (100) at least twice each clock cycle.
    Type: Grant
    Filed: June 29, 2001
    Date of Patent: June 22, 2004
    Assignee: Nextest Systems Corporation
    Inventors: Steven R. Bristow, Paul Magliocco, Seth W. Craighead
  • Patent number: 6744272
    Abstract: A test circuit is adapted to test circuits having a high-frequency clock signal. The test circuit is positioned between a conventional tester and the circuit to be tested. The test circuit includes a frequency multiplication circuit which multiplies the clock signal of the conventional tester to produce a high-frequency clock signal. The test circuit also receives control signals from the conventional tester. The control signals are output to the circuit to be tested via a bus.
    Type: Grant
    Filed: March 18, 2002
    Date of Patent: June 1, 2004
    Inventors: Wolfgang Ernst, Gunnar Krause, Justus Kuhn, Jens Luepke, Jochen Mueller, Peter Poechmueller, Michael Schittenhelm
  • Patent number: 6735732
    Abstract: A clock adjusting method adjusts a first clock supplied to a first flip-flop which is coupled to an output of a first circuit and a second clock supplied to a second flip-flop which is coupled to an input of a second circuit, where the first and second flip-flops are coupled via a transmission path. The clock adjusting method includes the steps of (a) transmitting data from the first flip-flop to the second flip-flop via the transmission path while varying delay quantities of the first and second clocks, (b) obtaining a combination of the delay quantities of the first and second clocks with which the data is correctly transmitted from the first flip-flop to the second flip-flop, and (c) adjusting the delay quantity of at least one of the first and second clocks based on the combination so as to synchronize operations of the first and second flip-flops.
    Type: Grant
    Filed: June 13, 2003
    Date of Patent: May 11, 2004
    Assignee: Fujitsu Limited
    Inventor: Jun Yamada
  • Publication number: 20040088630
    Abstract: An integrated circuit device includes at least one functional module which outputs save data in synchronism with a saving clock signal, a power supply control unit which selects one of the functional modules, and controls stop and resumption of power supply to the selected functional module, a save data storage unit which stores save data output from a functional module selected by the power supply control unit, and an error checking and correction unit which performs error checking and correction for the save data stored in the save data storage unit when the save data is to be restored to the functional module in synchronism with a restoration clock signal.
    Type: Application
    Filed: September 30, 2003
    Publication date: May 6, 2004
    Applicant: Semiconductor Technology Academic Research Center
    Inventors: Yukio Arima, Koichiro Ishibashi, Takahiro Yamashita
  • Patent number: 6732305
    Abstract: An integrated and constantly enabled on-chip test interface for use in verifying the functionality of high speed embedded memories such as synchronous dynamic random access memories (“SDRAM”) which allows for the utilization of existing, relatively low speed, (and hence low cost), testers to perform the testing. The interface allows for the verification of an embedded memory macro design utilizing a test interface which includes the memory macro and separate on-chip test circuitry so that half-rate, narrow word, input signals from a tester can perform all memory macro operations across the breadth of a wide memory macro input/output (“I/O”) architecture. The on-chip test circuitry may also include a synchronizing circuit to minimize skew between the external clock and the data output from the test chip.
    Type: Grant
    Filed: May 4, 2001
    Date of Patent: May 4, 2004
    Assignees: United Memories, Inc., Sony Corporation
    Inventors: Oscar Frederick Jones, Jr., Michael C. Parris
  • Patent number: 6728917
    Abstract: Test pattern generation is performed for a sequential circuit by first separating the circuit into overlapping pipelines by controlling corresponding clocks for one or more registers of the circuit so as to break feedback loops of the circuit, and then processing each of the pipelines separately in order to determine if particular target faults are detectable in the pipelines. Independent clocks may be provided for each of a number of registers of the circuit in order to facilitate the breaking of the feedback loops. The processing of the pipelines may include a first processing operation which detects target faults in a single time frame, and a second processing operation which detects target faults in two or more time frames. The first processing operation generates as many combinational test vectors as possible for each of the pipelines, while the second processing operation generates sequences of two or more combinational test vectors for each of the pipelines.
    Type: Grant
    Filed: February 9, 2001
    Date of Patent: April 27, 2004
    Assignee: Agere Systems Inc.
    Inventors: Miron Abramovici, Xiaoming Yu
  • Patent number: 6728516
    Abstract: The process for transmitting data between a radio transmitter rig and a receiver rig, through a disturbing transmission medium, consists in: grouping the data to be transmitted into blocks (Bi), adding redundancy information thereto, and splitting the block (Bi) up into a determined number of bit packets (Pj) and, at the receiving end, an attempt is made to reconstruct the data block (Bi) by utilizing the redundancy information, a process in which: a quality is estimated in respect of the transmission of data and a minimum number of packets (Pj) of the block (Bi) are transmitted, which number is sufficient to reconstruct the block (Bi) and, at the receiving end, the attempted reconstruction of the block (Bi) is performed by investigating the minimum number of packets (Pj).
    Type: Grant
    Filed: April 3, 2000
    Date of Patent: April 27, 2004
    Assignee: Sagem SA
    Inventors: Frédéric Heurtaux, André Sevens
  • Patent number: 6715119
    Abstract: A test data generating system and method to conduct high-speed operation (actual operation test of an LSI using a tester. The system converts existing simulation data to high-speed operation verifying test data which is formed to obtain a predetermined output expectation value after a clock signal is stopped for a predetermined period. The test data generating system includes a selecting device to select first output expectation values from simulation data and an inserting device to insert output expectation values, which are identical to a predetermined number of the first expectation values, after the first output expectation values. The system also inserts an input pattern, which is identical to a predetermined number of the input patterns, after the input pattern corresponding to the first output expectation value.
    Type: Grant
    Filed: July 7, 2000
    Date of Patent: March 30, 2004
    Assignee: Fujitsu Limited
    Inventor: Hitoshi Watanabe
  • Patent number: 6708139
    Abstract: A method and apparatus are provided for determining quality metrics associated with a test pattern used to test an integrated circuit (IC). The delays associated with (1) a longest sensitizable path through the IC that includes the delay fault and (2) an actual path exercised by the test pattern through the IC that includes the delay fault are determined. A difference between the delays is then obtained. The difference is then combined with a difference between a speed at which the test is performed and a design specification operating speed of the IC for the actual path. The sum represents the first quality metric associated with the test pattern for a given fault site. The ratio of the delays of the actual path to the longest sensitizable path represents the second quality metric associated with the test pattern for a given fault site.
    Type: Grant
    Filed: April 30, 2002
    Date of Patent: March 16, 2004
    Assignee: Agilent Technologies, Inc.
    Inventors: Jeff Rearick, Manish Sharma
  • Patent number: 6704893
    Abstract: An automatic test equipment is used to test integrated circuits. The test comprises applying signals to each input pin of the circuit at predetermined timings and in detecting output signals at the output pins of the circuit at predetermined timings. Each succession of timings, or time-plates, for an input pin and the corresponding output pin is controlled by a timing generator in the test equipment. When the number n of time-plates is greater than the number m of timing generators, the test is realized in several steps. The timing generators, which are reused for other time-plates during a second or further step, are selected in a way that minimizes testing time. The timing generators which are reused are those which require a minimum number of programming changes from the time-plate implemented during the first step.
    Type: Grant
    Filed: August 15, 2000
    Date of Patent: March 9, 2004
    Assignee: Alcatel
    Inventors: Peter Bauwens, Anton Chichkov
  • Patent number: 6691267
    Abstract: A technique to implement functions requiring fewer pins of an integrated circuit to serially transfer data into the integrated circuit for multiple logic blocks. By reducing the required pins, this permits downbonding of the integrated circuit into a package with fewer pins. This technique may be used to implement test functions in a programmable logic device. Test data may be serially input using a test pin (310) for two or more columns (320) of logic blocks. The test data is stored in an A register (330), and may be later transferred into a B register (335).
    Type: Grant
    Filed: June 9, 1998
    Date of Patent: February 10, 2004
    Assignee: Altera Corporation
    Inventors: Khai Nguyen, Chiakang Sung, Bonnie Wang, Joseph Huang, Xiaobao Wang
  • Patent number: 6691272
    Abstract: A double data rate (DDR) circuit for testing of a high speed DDR interface using single clock edge triggered tester data. The DDR testing circuit includes a first register, a second register, and a multiplexer (MUX). A clock signal is fed to the first register and the MUX. The inverse of the clock signal is fed to the second register. A tester data signal is fed to the first register which generates a latched tester data signal which is fed to the MUX. The inverse of the latched tester data signal is fed to the second register which generates a transformed tester data signal which is fed to the MUX. The MUX generates a combination of the latched tester data signal and the transformed tester data signal for transmission as an applied test data signal. The resulting applied test data signal has double the data rate of the tester data signal upon which it is based.
    Type: Grant
    Filed: December 12, 2000
    Date of Patent: February 10, 2004
    Assignee: LSI Logic Corporation
    Inventor: Syed K. Azim
  • Patent number: 6675339
    Abstract: An electronic tester with digital, and analog, and memory test circuitry on a single platform. A test head is coupled to a device under test. The device under test can be a system-on-a-chip integrated circuit, a mixed signal integrated circuit, a digital integrated circuit, or an analog integrated circuit. Digital test circuitry applies digital test signals to the device under test coupled to the test head and receives digital outputs from the device under test in response to the digital test signals. Analog test circuitry applies analog test signals to the device under test coupled to the test head and receives analog outputs from the device under test in response to the analog test signals. Memory test circuitry applies memory test patters to the device under test coupled to the test head and receives memory outputs from the device under test in response to the memory test patterns.
    Type: Grant
    Filed: August 22, 2001
    Date of Patent: January 6, 2004
    Assignee: LTX Corporation
    Inventors: Kenneth J. Lanier, Roger W. Blethen, H. Neil Kelly, Michael G. Davis, Jeffrey H. Perkins, Tommie Berry, Phillip Burlison, Mark Deome, Christopher J. Hannaford, Edward J. Terrenzi, David Menis, David W. Curry, Eric Rosenfeld
  • Publication number: 20040003332
    Abstract: A testing device uses an input signature register to conduct “at speed” testing of asynchronous circuit responses in an effort to determine the operability of a monitored circuit. Upon receiving an enable signal, the input signature register quickly measures, compresses, and transmits the tested circuit responses so that the responses can be compared with a set of anticipated responses to determine whether the circuit is functioning properly. The enabled input signature register, such as a MISR or a SISR, generates an output signature, which contains the compressed responses of the monitored circuit and helps the testing device analyze circuit performance.
    Type: Application
    Filed: June 28, 2002
    Publication date: January 1, 2004
    Inventors: Kee Sup Kim, Shyang-Tai Sean Su, Adarsh Kalliat, Ajith Prasad
  • Patent number: 6671848
    Abstract: A test circuit for exposing higher order speed paths. A test circuit includes a clock generation circuit coupled to a test clock control unit. The clock generation circuit is configured to receive an input clock signal and to generate an output clock signal. The test clock control unit is configured to selectively provide a user programmable test vector or a fixed test vector to control the generation of the output clock signal by the clock generation circuit depending upon a state of a first mode select signal.
    Type: Grant
    Filed: March 20, 2001
    Date of Patent: December 30, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jason Dale Mulig, Arnold Louie
  • Patent number: 6671847
    Abstract: An integrated circuit includes circuitry to test input/output (I/O) devices. Test data is provided to a loopback circuit that drives data through the output buffer to the pad, and back onto the integrated circuit through the input buffer. Separate clock signals, with varying phase, are generated for input synchronous elements and output synchronous elements. The phase, and the relative time delay between the separate clocks, changes as an external clock is varied. The external clock is varied to verify the performance parameters of the I/O devices. Each I/O device includes a shift register that can be coupled to the other buffers in a chain, or can be configured to be in a loop.
    Type: Grant
    Filed: November 8, 2000
    Date of Patent: December 30, 2003
    Assignee: Intel Corporation
    Inventors: Chi-Yeu Chao, Tawfik R. Arabi, Thomas D. Barrett, Gregory F. Taylor
  • Patent number: 6671842
    Abstract: A method and apparatus are disclosed for asynchronous testing of multiport memories. In one embodiment, the apparatus includes a built-in self-test (BIST) unit coupled to a multiport memory module and configured to apply a pattern of read and write test operations concurrently to multiple ports of the memory. The pattern of test operations may be any standard or customized pattern designed to establish the functionality of the multiport memory. The test operations to different ports are clocked by different clock signals so that the clock signals may be offset relative to each other by an adjustable or preset clock skew. Certain clock skews cause transitions to occur on signal lines in the memory array at the most sensitive portion(s) of a read cycle. The timing of these transitions, in combination with the presence of high-resistivity bridge faults, sufficiently disturbs the read cycle so as to cause a read error, thereby enabling detection of the bridge faults.
    Type: Grant
    Filed: October 21, 1999
    Date of Patent: December 30, 2003
    Assignee: LSI Logic Corporation
    Inventors: Tuan Phan, Thompson W. Crosby, V. Swamy Irrinki
  • Publication number: 20030233608
    Abstract: A technique for adjusting a communication system involves a plurality of links where each link includes a data line adapted to transmit a data signal and a clock line adapted to transmit a clock signal. A test circuit connects to the plurality of links where the test circuit tests at least one of the plurality of links. The test circuit includes an adjustment circuit arranged to generate an adjustable clock signal from the clock signal of the one of the plurality of links based on an offset where the adjustment circuit adjusts a timing of the adjustable clock signal relative to the data signal of the one of the plurality of links. The test circuit is adapted to perform a round-robin testing of the plurality of the links.
    Type: Application
    Filed: June 18, 2002
    Publication date: December 18, 2003
    Inventors: Aninda K. Roy, Claude R. Gauthier, Brian W. Amick
  • Publication number: 20030226083
    Abstract: A self-synchronous logic circuit includes scan test compliant registers holding data and forming stages of a pipeline, and scan test compliant self-synchronous signal control circuits corresponding to respective registers and performing handshake to transfer clocks. In accordance with the clocks transferred by the scan test compliant self-synchronous signal control circuits, data processing among the scan test compliant registers proceeds. In addition to normal data processing, the scan test compliant registers have a function of serially transferring contents thereof at the time of a test. The scan test compliant self-synchronous signal control circuits are set to a state that corresponds to the end of a third way of the handshake, at the time of a test.
    Type: Application
    Filed: May 30, 2003
    Publication date: December 4, 2003
    Inventors: Hidekazu Yamanaka, Takashi Horiyama
  • Patent number: 6647507
    Abstract: An embodiment of the invention includes an apparatus that has a first clock on a memory controller hub that is set to a first clock receive time and a second clock on the memory controller hub set to a first clock transmit time. A first data is sent from the memory to the memory controller hub. A second data is sent from the memory to the memory controller hub wherein the second data is checked. At least one of the first clock and the second clock has at least one of a second clock receive time and a second clock transmit time adjusted.
    Type: Grant
    Filed: December 31, 1999
    Date of Patent: November 11, 2003
    Assignee: Intel Corporation
    Inventor: Keith E. Dow
  • Patent number: 6647523
    Abstract: Expect data signals are generated for a series of applied data signals having a known sequence to determine if groups of the applied data signals were properly captured. A first group of the applied data signals is captured, and a group of expect data signals are generated from the first group. A second group of the applied data signals is then captured and determined to have been properly captured when the second group corresponds to the group of expect data signals. In this way, when a captured series of data signals is shifted in time from an expected capture point, subsequent captured data signals are compared to their correct expected data signals to determine whether the group, although shifted in time, was nonetheless correctly captured. Expect data signals are generated in this manner and may be utilized in a variety of integrated circuits, such as an SLDRAM.
    Type: Grant
    Filed: October 9, 2002
    Date of Patent: November 11, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Troy A. Manning
  • Publication number: 20030200496
    Abstract: A clock adjusting method adjusts a first clock supplied to a first flip-flop which is coupled to an output of a first circuit and a second clock supplied to a second flip-flop which is coupled to an input of a second circuit, where the first and second flip-flops are coupled via a transmission path. The clock adjusting method includes the steps of (a) transmitting data from the first flip-flop to the second flip-flop via the transmission path while varying delay quantities of the first and second clocks, (b) obtaining a combination of the delay quantities of the first and second clocks with which the data is correctly transmitted from the first flip-flop to the second flip-flop, and (c) adjusting the delay quantity of at least one of the first and second clocks based on the combination so as to synchronize operations of the first and second flip-flops.
    Type: Application
    Filed: June 13, 2003
    Publication date: October 23, 2003
    Applicant: Fujitsu Limited
    Inventor: Jun Yamada
  • Patent number: 6636999
    Abstract: A clock adjusting method adjusts a first clock supplied to a first flip-flop which is coupled to an output of a first circuit and a second clock supplied to a second flip-flop which is coupled to an input of a second circuit, where the first and second flip-flops are coupled via a transmission path. The clock adjusting method includes the steps of (a) transmitting data from the first flip-flop to the second flip-flop via the transmission path while varying delay quantities of the first and second clocks, (b) obtaining a combination of the delay quantities of the first and second clocks with which the data is correctly transmitted from the first flip-flop to the second flip-flop, and (c) adjusting the delay quantity of at least one of the first and second clocks based on the combination so as to synchronize operations of the first and second flip-flops.
    Type: Grant
    Filed: March 29, 2000
    Date of Patent: October 21, 2003
    Assignee: Fujitsu Limited
    Inventor: Jun Yamada
  • Patent number: 6633991
    Abstract: A system and method for observing the two clocking phase signals, finding a point in time when said signals have a phase coincidence which is good enough for fulfilling a phase difference requirement (e.g. 20 ps), and switching from one clock source to the other. The essential idea is not to compare the phases directly but to generate an auxiliary signal out of the two clock signals which is easier to handle in order to find that desired point in time and which reflects all desired properties of the time dependent phase shift between said clock signals. At a predetermined location in the cycle of both clock signals (e.g. its positive transition) a pulse is generated out of each of the clock signals with matched identical delay elements located very close to each other on the same chip for both signals. As they match they produce exactly the same pulse widths. The absolute length of the pulse width is of minor relevance as long as the length of the pulses is the same within close limits.
    Type: Grant
    Filed: May 17, 2000
    Date of Patent: October 14, 2003
    Assignee: International Business Machines Corporation
    Inventor: Gottfried Andreas Goldrian
  • Patent number: 6618829
    Abstract: The present invention includes bit synchronizers and methods of synchronizing and calculating error. One method of synchronizing with a data signal in accordance with the present invention includes providing a data signal having a first portion and a second portion, generating a timing signal, first adjusting the timing signal during the first portion of the data signal, accumulating a history value during the first portion of the data signal, and second adjusting the timing signal during a second portion of the data signal using the history.
    Type: Grant
    Filed: March 26, 2001
    Date of Patent: September 9, 2003
    Assignee: Micron Technology, Inc.
    Inventors: George E. Pax, David K. Ovard
  • Patent number: 6598191
    Abstract: A function for verifying an asynchronous boundary behavior of a digital system. The asynchronous boundary is formed at a coupling between a first series of registers clocked by a write clock (the write domain), and a second series of registers clocked by a read clock (the read domain). A delay register and multiplexer are inserted after a predetermined register within the digital system, where the predetermined register and delay register are clocked by the same clock. The output of the predetermined register is coupled to both the first input of multiplexer and a first input of the delay register. The delay register is coupled to the second input of the multiplexer. A selector is coupled to the multiplexer for selecting which of the two multiplexer inputs to pass to subsequent registers in the digital system.
    Type: Grant
    Filed: November 23, 1999
    Date of Patent: July 22, 2003
    Assignee: Hewlett-Packard Development Companay, L.P.
    Inventors: Debendra Das Sharma, Ashish Gupta, Donald A. Williamson
  • Patent number: 6598172
    Abstract: In a coordinated computer system for encoding, transmitting, and decoding a series of data packets such as audio and/or video data, there may be a skew between the clock used by an encoder and the clock used by a decoder. In a method and device for compensating for this clock skew, the decoder calculates a drift metric representing the clock skew and modifies the time stamps of the data packets based on the drift metric. The decoder also performs a sample rate conversion on the digital data, in order to compensate for the clock skew between the encoder and decoder.
    Type: Grant
    Filed: October 29, 1999
    Date of Patent: July 22, 2003
    Assignee: Intel Corporation
    Inventors: Mark P. VanDeusen, Robert A. Marshall
  • Patent number: 6598192
    Abstract: A programmable clock generator (220), which is part of an integrated circuit (IC) (210), provides clock signals (230) and (232) to various components of the IC. The clock generator includes a PLL (322) and one or more choppers (326, 328) which provide a desired waveform to the IC for testing purposes. When used in conjunction with a tester (212, 312), the IC can be scan tested at-speed using slower and less expensive testing equipment.
    Type: Grant
    Filed: February 28, 2000
    Date of Patent: July 22, 2003
    Assignee: Motorola, Inc.
    Inventors: Teresa L. McLaurin, Donald L. Tietjen, Alfred L. Crouch, Kristen L. Mason
  • Patent number: 6587954
    Abstract: A clock switching technique allows selecting an input clock signal from any number of clock sources. Multiplexed input clock signals are switched on the fly onto an internal clock line coupled to an output clock line. Clock glitches are allowed on the output clock line. A clock invalid signal is asserted synchronous with the internal clock line during the time clock glitches may potentially be generated. The clock invalid signal signifies that clock switching is in progress and can be used to reset circuits which use the output clock line preventing problems in those circuits typically caused by clock glitches during the period of output clock instability. The clock switching technique is independent of clock source frequency as well as the system clock frequency.
    Type: Grant
    Filed: November 24, 1999
    Date of Patent: July 1, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Kenny Kok-Hoong Chiu
  • Patent number: 6587976
    Abstract: Semiconductor device testers are provided which measure skew between two or more output pins of a semiconductor device independent of a strobe timing input. More particularly, a skew signal is generated by a comparator circuit that changes state when the respective outputs transition state, for example, from matching to differing states. In a two output pin embodiment, for instance, when one of the output pin changes state before the other and both initially are in the same state, a flip flop is set at the time when the data on the output pins first differs, i.e. when the first output pin transitions to a new state. The flip flop is then reset when the second output pin subsequently transitions to the new state and again matches the first output pin. The resulting duration of the output of the flip flop thereby corresponds to the time of skew of the output pins regardless of the initial state of the pins.
    Type: Grant
    Filed: December 3, 1999
    Date of Patent: July 1, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Mo Yun, Byung-Se So
  • Publication number: 20030101399
    Abstract: A hold time error list, having for each hold time error path a hold time error value satisfying plural timing constraints, and more specifically a maximum hold time error value for each of timing constraints, as well as a setup time margin map allocating to path a setup time margin satisfying plural timing constraints for each setup time error path, and more specifically minimum value of setup time margins for the same path for each timing constraint, are generated. Also, referring to this setup time margin map, delay buffers, which reduces or eliminates hold time error of hold error list, and a delay of which is within the range of delay amounts and positions equal to or lower than the setup time margin, are inserted. Referring to the setup time margin map, it is possible to insert delay buffer to correct hold time error without causing new setup time errors.
    Type: Application
    Filed: October 15, 2002
    Publication date: May 29, 2003
    Applicant: FUJITSU LIMITED
    Inventor: Satoru Yoshikawa