Clock Or Synchronization Patents (Class 714/744)
  • Patent number: 7222275
    Abstract: A writing control circuit for writing command data supplied from a plurality of host computers onto any of a plurality of register sections, the writing control circuit includes a plurality of request signal storing sections, a host selecting section, and a writing section. The plurality of request signal storing sections correspond to the plurality of host computers and store writing request signals supplied from the corresponding host computers. The host selecting section sequentially selects the request signal storing sections, and receives and outputs data being stored by the selected request signal storing sections. The writing section receives the stored data output by the host selecting section, the command data, and register section specifying data.
    Type: Grant
    Filed: September 10, 2004
    Date of Patent: May 22, 2007
    Assignee: Advantest Corporation
    Inventor: Hiroshi Satou
  • Patent number: 7216279
    Abstract: An integrated circuit, where a hard macro is resident within the integrated circuit. The hard macro receives a clock signal at a frequency that is below the operational frequency of the integrated circuit, and produces a clock signal having a frequency that is at least equal to the operational frequency of the integrated circuit. The hard macro has a first input that receives a first signal from the tester. A second input receives a second signal from the tester, offset by substantially ninety degrees from the phase of the first signal. A speed select input receives a signal, where the signal is selectively set at one of a logical high indicating a first multiplier to be applied in the hard macro, and a logical low indicating a second multiplier to be applied in the hard macro. A clock multiplication circuit receives the first signal, selectively receives the second signal, and receives the speed select signal, and produces the clock signal.
    Type: Grant
    Filed: July 19, 2005
    Date of Patent: May 8, 2007
    Assignee: LSI Logic Corporation
    Inventors: Kevin J. Gearhardt, Anita M. Ekren
  • Patent number: 7216271
    Abstract: A testing apparatus for performing a setup testing or a hold testing on a device under test (“DUT”) storing a given data signal according to a given clock signal is provided, wherein the testing apparatus includes a timing generating unit for generating sequentially a plurality of timing signals having different timings during the setup testing or the hold testing on the basis of a fist offset value given before starting the setup testing or the hold testing; a pattern generating unit for generating the clock signal and the data signal; a pattern formatting unit for shifting the phase of the data signal with respect to the clock signal sequentially according to the timing signals sequentially generated and providing the DUT with the clock signal and the phase-shifted data signal sequentially; and a determining module for acquiring a setup time or a hold time of the DUT on the basis of storage data which are the data signals stored by the DUT.
    Type: Grant
    Filed: April 1, 2005
    Date of Patent: May 8, 2007
    Assignee: Advantest Corporation
    Inventors: Kouichi Tanaka, Masaru Doi, Shinya Sato
  • Patent number: 7206985
    Abstract: A method and an apparatus provides for calibrating a test system for an integrated semiconductor circuit, a pattern generator of the test system generating a test signal in the form of a pattern of successive rising and falling edges, which is composed of superposed sub-patterns formed via different internal paths of the pattern generator. The pattern generator provides an information signal for a measuring device of the test system, which identifies the edges of at least one sub-pattern of the test signal with regard to their origin from one of the internal paths. The calibration is carried out for the internal path separately using the information signal.
    Type: Grant
    Filed: May 7, 2002
    Date of Patent: April 17, 2007
    Assignee: Infineon Technologies AG
    Inventor: Hans-Christoph Ostendorf
  • Patent number: 7200784
    Abstract: An architecture and methodology for test data compression using combinational functions to provide serial coupling between consecutive segments of a scan-chain are described. Compressed serial-scan sequences are derived starting from scan state identifying desired Care_In values and using symbolic computations iteratively in order to determine the necessary previous scan-chain state until computed previous scan-chain state matches given known starting scan-chain state. A novel design for a new flip-flop is also presented that allows implementing scan-chains that can be easily started and stopped without requiring an additional control signal. Extensions of the architecture and methodology are discussed to handle unknown (X) values in scan-chains, proper clocking of compressed data into multiple scan-chains, the use of a data-spreading network and the use of a pseudo-random signal generator to feed the segmented scan-chains in order to implement Built In Self Test (BIST).
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: April 3, 2007
    Assignee: On-Chip Technologies, Inc.
    Inventors: Bulent Dervisoglu, Laurence H. Cooke
  • Patent number: 7196534
    Abstract: Output data of a device under test (DUT) is obtained at timing of both rising and falling edges of a clock output from the DUT, and output data of a DDR type device is fetched in synchronization with the clock. A semiconductor test apparatus comprises a clock side time interpolator 20 which obtains clocks input from a DUT 1 by a plurality of strobes of constant timing intervals and which outputs the clocks as time-sequential level data, a data side time interpolator 20 which obtains output data input from the DUT 1 by a plurality of strobes of constant timing intervals and which outputs the output data as time-sequential level data, and an edge selector 30 which switches the time-sequential level data obtained by the time interpolators 20 and selectively outputs level data indicating rising and/or falling edges of the level data.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: March 27, 2007
    Assignee: Advantest Corp.
    Inventor: Hideyuki Oshima
  • Patent number: 7194671
    Abstract: An processor includes first and second execution cores that operate in an FRC mode, an FRC check unit to compare results from the first and second execution cores, and an error check unit to detect recoverable errors in the first and second cores. The FRC check unit temporarily stores results from the first or second core, and a timer is activated if a mismatch is detected. If the error detector detects a recoverable error before the timer interval expires, a recovery routine is activated. If the timer interval expires first, a reset routine is activated.
    Type: Grant
    Filed: December 31, 2001
    Date of Patent: March 20, 2007
    Assignee: Intel Corporation
    Inventors: Steven J. Tu, Alexander J. Honcharik, Hang T. Nguyen, Sujat Jamil, Quinn W. Merrell
  • Patent number: 7193407
    Abstract: There is provided a test apparatus for testing a device-under-test, having a reference clock source for generating reference clock for controlling operations of the device-under-test, a clock regenerating circuit for generating, based on a phase adjusting signal to be inputted, regenerated clock whose frequency is equal with the reference clock and having a phase difference from the reference clock corresponding to the phase adjusting signal, a timing comparator for obtaining a value of an output signal outputted from the device-under-test based on the regenerated clock, a first phase comparing section for outputting the phase adjusting signal that converges the phase difference into a reference phase difference set in advance to the clock regenerating circuit based on the comparison result of the phases of the output signal and the regenerated clock and a storage section for sequentially storing the phase adjusting signals outputted from the first phase comparing section.
    Type: Grant
    Filed: September 8, 2006
    Date of Patent: March 20, 2007
    Assignee: Advantest Corporation
    Inventor: Nobuei Washizu
  • Patent number: 7190155
    Abstract: There is provided a test apparatus for testing a device-under-test, having a reference clock source for generating reference clock for controlling operations of the device-under-test, a clock regenerating circuit for generating, based on a phase adjusting signal to be inputted, regenerated clock whose frequency is equal with the reference clock and having a phase difference from the reference clock corresponding to the phase adjusting signal, a timing comparator for obtaining a value of an output signal outputted from the device-under-test based on the regenerated clock, a first phase comparing section for outputting the phase adjusting signal that converges the phase difference into a reference phase difference set in advance to the clock regenerating circuit based on the comparison result of the phases of the output signal and the regenerated clock and a storage section for sequentially storing the phase adjusting signals outputted from the first phase comparing section.
    Type: Grant
    Filed: August 19, 2005
    Date of Patent: March 13, 2007
    Assignee: Advantest Corporation
    Inventor: Nobuei Washizu
  • Patent number: 7188289
    Abstract: The test circuit tests a test target circuit and outputs a test result to a tester. The test circuit includes a first clock generator, a second clock generator, a test target circuit, a BIST circuit for performing the test, and a tester synchronous circuit. The BIST circuit repeats the test the number of times determined by the first clock and the second clock. The tester synchronous circuit selects a test result so as to output all the test results from the BIST circuit and outputs the selected test result.
    Type: Grant
    Filed: March 17, 2005
    Date of Patent: March 6, 2007
    Assignee: NEC Electronics Corporation
    Inventor: Yoshiyuki Nakamura
  • Patent number: 7187192
    Abstract: A semiconductor test device for acquiring a multiplexed clock signal from LSI output data and using the clock to test the LSI. The device includes a time interpolator and registers connected in series. The time interpolator has flip-flops connected in parallel for receiving output data from an LSI under test, a delay circuit for successively inputting strobes delayed at a constant timing interval to the flip-flops and outputting time-series level data, and an encoder for receiving the time-series level data from the flip-flops and encoding it into position data indicating an edge timing. The registers successively store position data from the encoder and output them at a predetermined timing. The device further includes a digital filter for outputting the position data from the registers as a recovery clock.
    Type: Grant
    Filed: April 21, 2003
    Date of Patent: March 6, 2007
    Assignee: Advantest Corp.
    Inventors: Hideyuki Oshima, Yasutaka Tsuruki
  • Patent number: 7178075
    Abstract: This invention describes a method of synchronizing test clocks in an LSSD system to achieve near simultaneous arrival of the clock signals at the inputs of all LSSD registers. The method relies on pipelining the latches to distribute the test clocks, where all pipeline latches are synchronized by the system clock. This enhancement improves the frequency at which the test clocks switch and improve the testing throughput by reducing testing time, resulting in significant reductions in testing hardware and overall time required for system test, without compromising any of the benefits associated with conventional LSSD techniques. The method further enhances the distribution of the test clock signals to points throughout the entire chip, with a distribution network that is tailored according to a desired LBIST speed.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: February 13, 2007
    Assignee: International Business Machines Corporation
    Inventors: James D. Warnock, William V. Huott
  • Patent number: 7178079
    Abstract: A synchronism pattern detecting timing recorder (20) records a synchronism pattern detecting timing at which a synchronism pattern is detected in reception data, a synchronism decider (12) collates the reception data with reference data to decide whether or not the reception data is consistent in phase with the reference data, and a timing generator (22) operates, when the synchronism decider (12) gives a decision for inconsistency in phase, for a match between the synchronism pattern detecting timing recorded in the synchronism pattern detecting timing recorder (20), as a subsequent one, and a timing of a synchronism pattern of the expectation data, and the subsequent synchronism pattern detecting timing in record is used to render the phases consistent, allowing for a rapid synchronization to be obtained, without the need of waiting a detection of synchronism pattern, even with an inconsistency in phase due to a false synchronism pattern.
    Type: Grant
    Filed: March 23, 2004
    Date of Patent: February 13, 2007
    Assignee: Advantest Corporation
    Inventor: Kazuhiro Shimawaki
  • Patent number: 7176560
    Abstract: A semiconductor device having a chip-on-chip structure wherein; a first semiconductor chip with a memory macro control circuit where a plurality of inter-chip connection terminals and a plurality of external connection terminals are formed on a surface of the chip; and a second semiconductor chip with memory macro having input/output terminals for the normal operation mode and for the test mode where a plurality of inter-chip connection terminals and a plurality of external connection terminals are formed on a surface of the chip; are adhered to each other in a form so that the surfaces of the chips are opposed to each other and so that the inter-chip connection terminals of the first semiconductor chip and the inter-chip connection terminals of the second semiconductor chip are connected to each other; is provided wherein a multiplexer circuit and a demultiplexer circuit are provided with the first semiconductor chip and the second semiconductor chip so that a signal is inputted to, or is outputted from, the
    Type: Grant
    Filed: November 17, 2003
    Date of Patent: February 13, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Kenji Motomochi
  • Patent number: 7174502
    Abstract: Synchronization errors in a received pulse train are detected by detecting rising or falling transitions in the pulse train, generating numbers in a repeating cycle having a length corresponding to the pulse rate, selecting the number generated when each transition is detected, and performing a predetermined operation on the selected numbers. The predetermined operation may include, for example, comparing the average values of the selected numbers in successive groups of transitions. Alternatively, the predetermined operation may include taking a difference between consecutively selected numbers to measure pulse widths in the pulse train. Synchronization error detection can be used to supplement data error detection and correction methods such as forward error correction and cyclic redundancy checks.
    Type: Grant
    Filed: June 27, 2003
    Date of Patent: February 6, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Hiromitsu Miyamoto
  • Patent number: 7171602
    Abstract: An apparatus and method for computing event timing for high speed event based test system. The event processing apparatus includes an event memory for storing event data of each event where the event data includes timing data for each event which is formed with an integer multiple of a clock period and a fraction of the clock period, an event summing logic for accumulating the timing data and producing the accumulated timing data in a parallel form, and an event generator for generating events specified by the event data based on the accumulated timing data received in the parallel form from the event summing logic. The events in the event data are specified as groups of events where each group of event is configured by one base event and at least one companion event.
    Type: Grant
    Filed: December 13, 2002
    Date of Patent: January 30, 2007
    Assignee: Advantest Corp.
    Inventors: Glen Gomes, Anthony Le
  • Patent number: 7149944
    Abstract: A semiconductor integrated circuit device includes a semiconductor memory circuit device, a first sequencer, and a second sequencer. The semiconductor memory circuit device stores data. The first sequencer controls writing of data into the semiconductor memory circuit device. The second sequencer controls reading of data from the semiconductor memory circuit device.
    Type: Grant
    Filed: May 25, 2004
    Date of Patent: December 12, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroyuki Okawa, Junji Mori
  • Patent number: 7126366
    Abstract: Good device PASS/FAIL determination is realized by measuring timings of both signals, i.e., a cross point of differential clock signals CLK and a data signal DATA output from a DUT, and obtaining a relative phase difference between both signals.
    Type: Grant
    Filed: June 10, 2003
    Date of Patent: October 24, 2006
    Assignee: Advantest Corp.
    Inventors: Masatoshi Ohashi, Toshiyuki Okayasu
  • Patent number: 7127632
    Abstract: A method and device for synchronizing the time between at least two integrated circuits (201, 202), which receive the same pulse signal. In the integrated circuits (201, 202) a counter (204, 206) is used to count the number of pulses in the received pulse signal to synchronize the common time between said integrated circuits.
    Type: Grant
    Filed: November 18, 2002
    Date of Patent: October 24, 2006
    Assignee: Nokia Corporation
    Inventors: Janne Takala, Sami Mäkelä
  • Patent number: 7124342
    Abstract: A method for generating stimuli and test responses for testing faults in a scan-based integrated circuit in a selected scan-test mode or a selected self-test mode, the scan-based integrated circuit containing a plurality of scan chains, N clock domains, and C cross-clock domain blocks, each scan chain comprising multiple scan cells coupled in series, each clock domain having one capture clock, each cross-clock domain block comprising a combinational logic network.
    Type: Grant
    Filed: May 21, 2004
    Date of Patent: October 17, 2006
    Assignee: Syntest Technologies, Inc.
    Inventors: Laung-Terng Wang, Khader S. Abdel-Hafez, Xiaoqing Wen, Boryau (Jack) Sheu, Shun-Miin (Sam) Wang
  • Patent number: 7120831
    Abstract: In an in-circuit emulator system, an in-circuit emulator debugger operated on a personal computer requests operation clock frequency, and transmits data for clock frequency designated by a user to an in-circuit emulator. The in-circuit emulator stores the received clock frequency data in a frequency data register. A PLL synthesizer oscillates with frequency based on the clock frequency data stored in the frequency data register to generate a clock.
    Type: Grant
    Filed: April 9, 2003
    Date of Patent: October 10, 2006
    Assignees: Renesas Technology Corp., Mitsubishi Electric Semiconductor Application Engineering Corporation
    Inventor: Chikao Uchino
  • Patent number: 7114113
    Abstract: A test circuit includes an input circuit for inputting data to select a test mode relative to a circuit to be tested and outputting result of selection of the test mode in synchronization with a first clock, a pattern generation circuit for responding to result of selection of the test mode, generating a test pattern in synchronization with a second clock and outputting the test pattern to the circuit to be tested and a comparator circuit for inputting result of test of the circuit to be tested in synchronization with the second clock, and comparing coincidence/non-coincidence between the result of the test and the test pattern supplied to the circuit to be tested. The test circuit further includes an output circuit for holding result of comparison by the comparator circuit and outputting the result of comparison in synchronization with the first clock.
    Type: Grant
    Filed: August 26, 2003
    Date of Patent: September 26, 2006
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Takeru Yonaga, Hiroyuki Fukuyama, Hitoshi Tanaka
  • Patent number: 7114114
    Abstract: A programmable time event and waveform generator for the application of precise timing patterns to a logic circuit and the capture of response data from the logic circuit. The time event and waveform generator comprises a programmable delay element that is programmed with values stored in pattern memory. For scan based testing, the time event and waveform generator is programmed between test pattern scan sequences by serial loading from the test pattern memory. The generator may be used to generate precise signal transitions to input pins of a circuit under test, and to capture at precise times the signal states from the output pins of a circuit under test. The data for programming the delay element is accessed from test pattern memory.
    Type: Grant
    Filed: August 12, 2004
    Date of Patent: September 26, 2006
    Assignee: Inovys Corporation
    Inventors: Phillip D. Burlison, Jason E. Doege
  • Patent number: 7099424
    Abstract: A clock data recovery (CDR) circuit to recover a clock signal and data signal from an input signal. The CDR circuit includes a control circuit, a select circuit and a phase adjust circuit. The control circuit generates a first control signal according to a phase relationship between the input signal and a first clock signal. The select circuit is coupled to receive the first control signal from the control circuit and coupled to receive a second control signal. The select circuit is responsive to a select signal to select either the first control signal or the second control signal to be output as a selected control signal. The phase adjust circuit is coupled to receive the selected control signal from the select circuit, the phase adjust circuit being responsive to the selected control signal to adjust the phase of the first clock signal.
    Type: Grant
    Filed: August 28, 2001
    Date of Patent: August 29, 2006
    Assignee: Rambus Inc.
    Inventors: Kun-Yung K. Chang, Jason C. Wei, Donald V. Perino
  • Patent number: 7100099
    Abstract: The semiconductor testing apparatus includes a data sampler for acquiring a plurality of clock cross-over test data samples from the DUT using data change point detection from the sample data value and a data change point storage section writing the DCP based on CLK 1 and reading the DCP based on CLK 2 and a clock sampler acquiring a plurality of clock sample values from the DUT and a clock change point detection section detecting a clock change point from the sample value and a clock change point storage section writing the clock change point based on CLKS and reading CCP based on CLKZ using a phase difference detection section detecting the phase difference between the data change point and the clock change point which are simultaneously read from the storage section with comparison to the phase difference with the specifications data and outputting the passed or failed display indication.
    Type: Grant
    Filed: January 31, 2006
    Date of Patent: August 29, 2006
    Assignee: Advantest Corporation
    Inventor: Hirokatsu Niijima
  • Patent number: 7085975
    Abstract: Expect data signals are generated for a series of applied data signals having a known sequence to determine if groups of the data signals were properly captured. A first group of the applied data signals is captured, and a group of expect data signals are generated from the captured first group. A second group of applied data signals is then captured and determined to have been properly captured when the second group corresponds to the group of expect data signals. In this way, when a captured series of data signals is shifted in time from an expected capture point, subsequent captured data signals are compared to their correct expected data signals in order to determine whether that group, although shifted in time, was nonetheless correctly captured. A pattern generator generates expect data signals in this manner, and may be utilized in a variety of integrated circuits, such as an SLDRAMs.
    Type: Grant
    Filed: August 25, 2003
    Date of Patent: August 1, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Troy A. Manning
  • Patent number: 7080304
    Abstract: A system and method for configuring an automatic test system to produce a plurality of clocks from a reference clock includes a user interface and software. The user interface receives a plurality of inputs that specify desired frequencies of the plurality of clocks. In response to a command from the user interface, the software calculates values for dividers coupled to the reference clock, for deriving each of the desired frequencies from the reference clock. According to one embodiment, the desired frequencies form ratios that must be met to satisfy coherence. In calculating the divider values, the software minimizes frequency errors while precisely preserving the required ratios.
    Type: Grant
    Filed: February 26, 2002
    Date of Patent: July 18, 2006
    Assignee: Teradyne, Inc.
    Inventor: Gilbert R. Reese
  • Patent number: 7069481
    Abstract: A data recovery circuit has a phase-locked loop for generating a plurality of clock signals; an oversampling unit for non-integer times oversampling serial data, and outputting the oversampled result as sample data formed of a plurality of bits; a pattern detector for receiving the sample data, and generating a pattern signal; a state accumulator for receiving the pattern signal, accumulating the frequency of occurrence of the pattern signal, and outputting the pattern signal having the highest frequency of occurrence as a state signal; a state selector for receiving the state signal, and generating a state selection signal for selecting bits at predetermined positions in the sample data; and a data selector for receiving the sample data, selecting bits of the sample data in response to the state selection signal, and outputting the selected bits as recovered data formed of a plurality of bits.
    Type: Grant
    Filed: July 11, 2002
    Date of Patent: June 27, 2006
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Jin-kug Lee, Yong-sub Kim, Gun-sang Lee
  • Patent number: 7069458
    Abstract: A parallel data interface and method is provided herein, which adjusts a timing relationship of a clock signal to not only minimize clock skew, but to also compensate for noise components that may affect one or more paths of a parallel data bus. In some embodiments, the parallel data interface includes a first phase generator coupled to generate a first plurality of time delay pulses, and a first phase selector adapted to select one of the first plurality of time delay pulses to adjust the timing of a clock signal to sample each and every one of the plurality of data signals between minimum setup and hold time thresholds. In some embodiments, the parallel data interface includes a second phase generator coupled to generate a second plurality of time delay pulses, and a second phase selector adapted to select one of the second plurality of time delay pulses to adjust the timing of the clock signal to output the plurality of data signals from the data interface at least an amount of time (i.e.
    Type: Grant
    Filed: August 16, 2002
    Date of Patent: June 27, 2006
    Assignee: Cypress Semiconductor Corp.
    Inventors: Mohamed Sardi, Gabriel M. Li
  • Patent number: 7065683
    Abstract: An apparatus including a plurality of first base circuits, a plurality of second base circuits, a first test circuit, a second test circuit, and a test path. The plurality of first base circuits may be coupled to the plurality of second base circuits via one or more base circuit paths on a layout. The first test circuit may be disposed in a first distal location of the layout. The second test circuit may be disposed in a second distal location of the layout. The test path may be configured to (i) couple the first test circuit to the second test circuit and (ii) generate a test time delay from the first test circuit to the second test circuit incrementally longer than a maximum time delay generated by any of the base circuit paths.
    Type: Grant
    Filed: December 5, 2001
    Date of Patent: June 20, 2006
    Assignee: LSI Logic Corporation
    Inventors: David O. Sluiter, Robert W. Moss, Mark J. Kwong, Peter Korger, Christopher M. Giles
  • Patent number: 7035755
    Abstract: Method and apparatus for circuit testing with ring-connected test instrument modules. A system for controlling one or more test instruments to test one or more integrated circuits includes a master clock and a controller. The test instruments are connected to form a communication ring. The master clock is connected to each test instrument and provides a clock signal to the one or more test instruments. The controller is connected to the communication ring and is configured to align counters of test instruments to derive a common clock time value from the clock signal. The controller is further configured to generate and send data words into the communication ring to carry the data words to each test instrument. The data words includes at least one data word specifying a test event to be performed, a common clock time value, and at least one of the test instruments.
    Type: Grant
    Filed: August 16, 2002
    Date of Patent: April 25, 2006
    Assignee: Credence Systems Corporation
    Inventors: Michael F. Jones, Robert Whyte, Jamie S. Cullen, Naveed Zaman, Yann Gazounaud, Burnell G. West, William Fritzsche
  • Patent number: 7036064
    Abstract: A circuit is disclosed for testing memories using multiple built-in self test (BIST) controllers embedded in an integrated circuit (IC). The BIST controllers are brought to a synchronization point during the memory test by allowing for a synchronization state. An output signal from an output pin on the IC indicates the existence of a synchronization state to automated test equipment (ATE). After an ATE receives the output signal, it issues a resume signal through an IC input pin that causes the controllers to advance out of the synchronization state. The ATE controls the synchronization state length by delaying the resume signal. Synchronization states can be used in parametric test algorithms, such as for retention and IDDQ tests. Synchronization states can be incorporated into user-defined algorithms by software design tools that generate an HDL description of a BIST controller operable to apply the algorithm with the synchronization state.
    Type: Grant
    Filed: May 31, 2001
    Date of Patent: April 25, 2006
    Inventors: Omar Kebichi, Wu-Tung Cheng, Christopher John Hill, Paul J. Reuter, Yahya M. Z. Mustafa
  • Patent number: 7036053
    Abstract: A method for optimizing a source synchronous clock reference signal timing to capture data from a memory device (e.g., DDR SDRAM) includes conducting an iterative two-dimensional data eye search for optimizing the delay of the source synchronous clock reference signal (e.g., DQS). Embodiments of the present invention are directed to tuning the delay for each device for the optimal margin in two dimensions: maximize the distance from the data eye walls and maximize the noise margin on the interface. An iterative data eye search is performed while varying the DQS delay timing and noise margin.
    Type: Grant
    Filed: December 19, 2002
    Date of Patent: April 25, 2006
    Assignee: Intel Corporation
    Inventors: John F. Zumkehr, John L. Bryan, Howard S. David, Klaus Ruff
  • Patent number: 7017086
    Abstract: A technique for adjusting a communication system involves a plurality of links where each link includes a data line adapted to transmit a data signal and a clock line adapted to transmit a clock signal. A test circuit connects to the plurality of links where the test circuit tests at least one of the plurality of links. The test circuit includes an adjustment circuit arranged to generate an adjustable clock signal from the clock signal of the one of the plurality of links based on an offset where the adjustment circuit adjusts a timing of the adjustable clock signal relative to the data signal of the one of the plurality of links. The test circuit is adapted to perform a round-robin testing of the plurality of the links.
    Type: Grant
    Filed: June 18, 2002
    Date of Patent: March 21, 2006
    Assignee: Sun Microsystems, Inc.
    Inventors: Aninda K. Roy, Claude R. Gauthier, Brian W. Amick
  • Patent number: 7013417
    Abstract: A programmable time event and waveform generator for the application of precise timing patterns to a logic circuit and the capture of response data from the logic circuit. The time event and waveform generator comprises a programmable delay element that is programmed with values stored in pattern memory. For scan based testing, the time event and waveform generator is programmed between test pattern scan sequences by serial loading from the test pattern memory. The generator may be used to generate precise signal transitions to input pins of a circuit under test, and to capture at precise times the signal states from the output pins of a circuit under test. The data for programming the delay element is accessed from test pattern memory.
    Type: Grant
    Filed: January 9, 2004
    Date of Patent: March 14, 2006
    Assignee: Inovys Corporation
    Inventors: Phillip D. Burlison, Jason E. Doege
  • Patent number: 7010065
    Abstract: A method and apparatus are provided for word synchronization with large coding distance and fault tolerance for a partial-response maximum-likelihood (PRML) data channel in a direct access storage device (DASD). A Viterbi detector receives equalized PR4 samples including a predefined word synchronization pattern. The Viterbi detector is a dedicated detector optimized for detecting the predefined word synchronization pattern. The Viterbi detector includes a two-state Viterbi trellis and a word synchronization detector for the two-state Viterbi trellis. The predefined word synchronization pattern includes only even length magnets. The predefined word synchronization pattern is a repetition code including pairs of ones and pairs of zeros and includes multiple pattern match sequences. The Viterbi detector is optimized with branches removed from the Viterbi trellis, thus increasing coding distance.
    Type: Grant
    Filed: May 25, 2001
    Date of Patent: March 7, 2006
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Roy Daron Cideciyan, Jonathan Darrel Coker, Evangelos S. Eleftheriou, Richard Leo Galbraith, Todd Carter Truax
  • Patent number: 7010729
    Abstract: A timing generator includes a reference clock generating unit for outputting a reference clock at a predetermined time interval, a first variable delay circuit unit for receiving the reference clock and outputting a first delay signal which results from delaying the reference clock, a second variable delay circuit unit for receiving the reference clock and outputting a second delay signal which results from delaying the reference clock, a delay control unit for controlling delay amounts of the first and second variable delay circuit units, and a timing generating unit for generating the timing signal based on the first and second delay signals, wherein the first and second delay control units increase or decrease the delay amounts of the first and second variable delay circuit units to be increased or decreased whenever the reference clock generating unit generates the reference clock.
    Type: Grant
    Filed: May 10, 2004
    Date of Patent: March 7, 2006
    Assignee: Advantest Corporation
    Inventors: Masaru Doi, Shinya Sato
  • Patent number: 6995554
    Abstract: A delay-locked loop (DLL) of an integrated circuit (IC) with testing circuitry and a method for testing a DLL. During test mode, a phase comparator of the DLL receives a test clock in place of the reference clock and determines the phase difference between the test clock and the dock fed back to the DLL from a clock buffer tree. A variable delay element of the DLL then shifts the reference clock in time by an amount that depends on that phase difference. The variable delay element can be exercised by varying the phase of the test clock with respect to the reference clock by a known phase offset to cause the variable delay element to produce a range of delays. Whether the variable delay element is functioning properly can be determined by checking whether the phase of the test clock is aligned with the phase of the feedback clock.
    Type: Grant
    Filed: June 16, 2004
    Date of Patent: February 7, 2006
    Assignee: Agilent Technologies, Inc.
    Inventors: Alvin Leng Sun Loke, Michael Joseph Gilsdorf, Peter Jacob Meier, Jeffrey R. Rearick
  • Patent number: 6993695
    Abstract: A method and apparatus for testing a device using transition timestamp are used to evaluate output signals from the device. The method comprises the steps of performing timing tests on a signal from the device; and independently carrying out bit-level tests on a signal from the device. The independent timing tests and bit-level tests can be performed in parallel. The bit-level tests and apparatus comprise iteratively measuring a coarse timestamp for a transition in the signal and comparing the measured coarse timestamp to an expected timestamp to determine whether the device meets specifications. Whether the device meets specifications depends on whether, during the comparison step, the presence of a bit-level fault is detected. The apparatus and method may comprise Skew Fault detection, Bit Fault detection, No Coverage Warning detection and/or Drift Fault detection. An automatic testing system for testing devices comprises subsystems that incorporate the apparatus and method.
    Type: Grant
    Filed: June 6, 2001
    Date of Patent: January 31, 2006
    Assignee: Agilent Technologies, Inc.
    Inventor: Jochen Rivoir
  • Patent number: 6993696
    Abstract: A semiconductor memory device with a built-in self test circuit includes a semiconductor substrate, a memory cell array formed on the semiconductor substrate, an input buffer provided on the semiconductor substrate to receive externally applied data, a test circuit coupled to the memory cell array and the input buffer on the semiconductor substrate to store a program received through the input buffer to generate test data of the memory cell array according to the stored program to carry out testing of the memory cell array, and a select circuit selectively applying to the memory cell array test data applied from the test circuit and data applied from the input buffer depending upon a test operation and a normal operation.
    Type: Grant
    Filed: November 15, 2000
    Date of Patent: January 31, 2006
    Assignees: Renesas Technology Corp., Ryoden Semiconductor System Engineering Corporation
    Inventors: Tetsushi Tanizaki, Kazushi Sugiura, Masami Nakajima
  • Patent number: 6990613
    Abstract: A test apparatus for testing an electronic device includes a pattern generating unit for generating a test pattern to test the electronic device, a reference clock generating unit for generating a reference clock, a timing generator for generating a timing signal, an output signal sampling circuit for sampling the output signal outputted by the electronic device in response to the test pattern at the timing based on the timing signal generated by the timing generator, wherein the timing generator includes a variable delay circuit unit for receiving, delaying and outputting the reference clock, and a delay control unit for controlling the delay amount of the variable delay circuit unit, and the delay control unit controls the delay amount based on the basic timing data and the variable delay amount which is smaller than the basic timing data.
    Type: Grant
    Filed: May 6, 2004
    Date of Patent: January 24, 2006
    Assignee: Advantest Corporation
    Inventors: Masaru Doi, Shinya Sato
  • Patent number: 6980943
    Abstract: A method and system for generating a synchronous sequence of vectors from information originating within an asynchronous environment is disclosed. A simulated asynchronous sequence is synchronized by extracting a state at each clock period to generate a simulation synchronous sequence. This sequence is manipulated first to include short delays for generating an asynchronous short-delay sequence and second to include long delays for generating an asynchronous long-delay sequence. An overlay is separately performed among the clock periods of the asynchronous short-delay sequence and the asynchronous long-delay sequence to respectively identify a first interval and a second interval. The first interval and the second interval are independently duplicated in successive clock periods to respectively generate a synchronous short-delay sequence and a synchronous long-delay sequence.
    Type: Grant
    Filed: December 13, 2001
    Date of Patent: December 27, 2005
    Assignee: Agilent Technologies, Inc.
    Inventors: Robert C. Aitken, Stuart L. Whannel, Jian-Jin Tuan
  • Patent number: 6961861
    Abstract: A interface, which connects memory and an integrated circuit, having a write path and read path that allow synchronous data propagation is provided. Further, a method for synchronizing data propagation through a read path and a write path of an interface is provided. The interface uses clock signals and paths based on a clock signal to synchronize the flow of data through various paths within the interface.
    Type: Grant
    Filed: February 27, 2002
    Date of Patent: November 1, 2005
    Assignee: Sun Microsystems, Inc.
    Inventors: Alex N. Koltzoff, David C. Kehlet
  • Patent number: 6952796
    Abstract: A test data generating system and method to conduct high-speed operation (actual operation) test of an LSI using a tester. The system converts existing simulation data to high-speed operation verifying test data which is formed to obtain a predetermined output expectation value after a clock signal is stopped for a predetermined period. The test data generating system includes a selecting device to select first output expectation values from simulation data and an inserting device to insert output expectation values, which are identical to a predetermined number of the first expectation values, after the first output expectation values. The system also inserts an input pattern, which is identical to a predetermined number of the input patterns, after the input pattern corresponding to the first output expectation value.
    Type: Grant
    Filed: January 29, 2003
    Date of Patent: October 4, 2005
    Assignee: Fujitsu Limited
    Inventor: Hitoshi Watanabe
  • Patent number: 6944811
    Abstract: A blockage aware zero skew clock routing method for calculating the distance, and therefore the delay, between two points takes into account any blockages along the path between the two points and therefore creates a more usable and realistic measure of delay and allows for minimization, or elimination, of clock skew in the system being designed using the method of the invention.
    Type: Grant
    Filed: August 7, 2002
    Date of Patent: September 13, 2005
    Assignee: Sun Microsystems, Inc.
    Inventor: Shervin Hojat
  • Patent number: 6943590
    Abstract: A clock monitoring apparatus according to the invention including a main clock monitoring portion including a first counter for counting a main clock, issuing a normal operation confirming flag indicating that a normal operation is being carried out when the first counter is overflowed or reaches a previously determined set value, monitoring the normal operation confirming flag by a sub clock, issuing a first main clock stop flag having an output in correspondence with H (high level)/L (low level) of the normal operation confirming flag and a main clock initializing signal for initializing the main clock when the main clock is determined to stop and resetting the first main clock stop flag when the main clock is recovered by receiving the main clock initializing signal, and a sub clock switching control portion including a second counter for counting a signal output produced by calculating a logical sum of the sub clock and the first main clock stop flag at fall of the sub clock at a time point of generating
    Type: Grant
    Filed: November 4, 2003
    Date of Patent: September 13, 2005
    Assignee: NEC Corporation
    Inventor: Takashi Kitahara
  • Patent number: 6944737
    Abstract: Memory modules and methods of testing memory modules are provided that include at least one memory device responsive to a memory clock signal having a memory clock frequency and a data buffer. The data buffer is responsive to a buffer clock signal having a first buffer clock frequency that is different from the memory clock frequency during a normal mode of operation and having a second buffer clock frequency that is equal to the memory clock frequency during a test mode of operation.
    Type: Grant
    Filed: March 8, 2002
    Date of Patent: September 13, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-man Ahn, Jin-ho So, Byung-se So
  • Patent number: 6938200
    Abstract: The present invention includes bit synchronizers and methods of synchronizing and calculating error. One method of synchronizing with a data signal in accordance with the present invention includes providing a data signal having a first portion and a second portion, generating a timing signal, first adjusting the timing signal during the first portion of the data signal, accumulating a history value during the first portion of the data signal, and second adjusting the timing signal during a second portion of the data signal using the history.
    Type: Grant
    Filed: September 5, 2003
    Date of Patent: August 30, 2005
    Assignee: Micron Technology, Inc.
    Inventors: George E. Pax, David K. Ovard
  • Patent number: 6934896
    Abstract: A time shift circuit for changing a delay timing of a portion of a test pattern for testing a semiconductor device. The time shift circuit includes a multiplexer for selectively producing delay value data indicating a value of time shift in response to a shift command signal, a vernier delay unit for producing timing vernier data based on the delay value data selected by the multiplexer, and a timing generator for generating a timing edge for the specific portion of the test pattern based on the timing vernier data from the vernier delay unit. The shift command signal sets either a normal mode where predetermined delay value data is selected by the multiplexer or a time shift mode where delay value data for shifting the timing edge in real time is selected by the multiplexer.
    Type: Grant
    Filed: December 31, 2001
    Date of Patent: August 23, 2005
    Assignee: Advantest Corp.
    Inventors: Doug Larson, Anthony Le
  • Patent number: 6922650
    Abstract: Data on a period longer than the test cycle period concerned in a high-speed pattern test is preset in a period data storage 41, then a flag 1 is set in a cycle stretch setting part 16E of a pattern-generation memory 16 at an address position where to execute cycle stretch, then a high-speed pattern test signal is applied, and when the flag 1 is read out by an address from an address counter 14, a switching part 42 is controlled to switch data read out of a test cycle memory 34 to data set in a setting register 44 for application to a test cycle generator 36, thereby lengthening the test cycle period.
    Type: Grant
    Filed: January 11, 2002
    Date of Patent: July 26, 2005
    Assignee: Advantest Corporation
    Inventor: Hiroshi Sato