Design Entry Patents (Class 716/102)
  • Patent number: 9639365
    Abstract: An indirect branch instruction takes an address register as an argument in order to provide indirect function call capability for single-instruction multiple-thread (SIMT) processor architectures. The indirect branch instruction is used to implement indirect function calls, virtual function calls, and switch statements to improve processing performance compared with using sequential chains of tests and branches.
    Type: Grant
    Filed: November 12, 2012
    Date of Patent: May 2, 2017
    Assignee: NVIDIA Corporation
    Inventors: Brett W. Coon, John R. Nickolls, Lars Nyland, Peter C. Mills, John Erik Lindholm
  • Patent number: 9633154
    Abstract: A method and apparatus for structure analysis of a circuit design are described. In one exemplary embodiment, a functional specification of a circuit design is received, where the functional specification is defined based on a behavior layer abstraction. In addition, design codes for the circuit design are received, wherein in each design code of the design codes is defined based on the behavior layer abstraction. Furthermore, the design codes are searched, which is performed in the behavior layer abstraction, for one or more of the design codes that satisfy the functional specification. Each of the design codes that satisfy the functional specification is therefore recognized.
    Type: Grant
    Filed: November 30, 2012
    Date of Patent: April 25, 2017
    Assignee: Synopsys, Inc.
    Inventors: Naiyong Jin, Hong Liang
  • Patent number: 9626468
    Abstract: Groups of signals in an electronic design for which interesting assertions, such as assert, assume and cover properties, can be generated are identified. A sliding temporal window of fixed depth is used to sample unique present and past value combinations of signals in the signals groups generated by one or more simulations or emulations. The values of signals in the signal groups are organized into truth tables. Minimal functional relations are extracted from the truth tables, using techniques similar to those for synthesis of partial finite memory machines from traces, and used to generate assertions. The assertions are filtered using a cost function and pertinence heuristics, and a formal verification tool used to prune unreachable properties and generate traces for reachable cover properties. Syntactically correct assert, assume and cover property statements for the generated properties are instantiated and packaged into a file suitable for further simulation or emulation or formal verification.
    Type: Grant
    Filed: February 27, 2014
    Date of Patent: April 18, 2017
    Assignee: SYNOPSYS, INC.
    Inventors: Eduard Cerny, Diganchal Chakraborty, Saptarshi Ghosh, Yogesh Pandey
  • Patent number: 9608619
    Abstract: A method and apparatus are disclosed for use in improving the gate oxide reliability of semiconductor-on-insulator (SOI) metal-oxide-silicon field effect transistor (MOSFET) devices using accumulated charge control (ACC) techniques. The method and apparatus are adapted to remove, reduce, or otherwise control accumulated charge in SOI MOSFETs, thereby yielding improvements in FET performance characteristics. In one embodiment, a circuit comprises a MOSFET, operating in an accumulated charge regime, and means for controlling the accumulated charge, operatively coupled to the SOI MOSFET. A first determination is made of the effects of an uncontrolled accumulated charge on time dependent dielectric breakdown (TDDB) of the gate oxide of the SOI MOSFET. A second determination is made of the effects of a controlled accumulated charge on TDDB of the gate oxide of the SOI MOSFET.
    Type: Grant
    Filed: July 22, 2013
    Date of Patent: March 28, 2017
    Assignee: Peregrine Semiconductor Corporation
    Inventors: Michael A. Stuber, Christopher N. Brindle, Dylan J. Kelly, Clint L. Kemerling, George P. Imthurn, Robert B. Welstand, Mark L. Burgener, Alexander Dribinsky, Tae Youn Kim
  • Patent number: 9607684
    Abstract: A method for predicting a condition in a circuit under design includes obtaining a set comprising first static noise margin curve for the circuit and a second static noise margin curve for the circuit, wherein the second static noise margin curve is complementary to the first static noise margin curve, matching the set to a two-dimensional model of a cell, and predicting the condition in accordance with hardware characterization data corresponding to the cell.
    Type: Grant
    Filed: January 12, 2016
    Date of Patent: March 28, 2017
    Assignee: International Business Machines Corporation
    Inventors: Rajiv V. Joshi, Keunwoo Kim
  • Patent number: 9595352
    Abstract: An apparatus comprising a memory and a controller. The memory is configured to process a plurality of read/write operations. The memory comprises a plurality of memory modules each having a size less than a total size of the memory. The controller is configured to process a plurality of I/O requests to blocks of the memory that are not marked as bad on a block list. The controller is configured to track a plurality of bad blocks of the memory. The controller is configured to perform a plurality of scans on the memory. The scans are configured to (a) identify the bad blocks, and (b) mark the bad blocks as bad on the block list.
    Type: Grant
    Filed: March 24, 2014
    Date of Patent: March 14, 2017
    Assignee: Seagate Technology LLC
    Inventors: Zhengang Chen, David Patmore, Yingji Ju, Erich F. Haratsch
  • Patent number: 9589089
    Abstract: A user specified high level design selects a plurality of IP cores for placement in a customized system on a chip. A single integrated service automatically performs each of a design integration phase, specification phase, and verification phase for the user specified high level design to generate an integration file specifying stitching between a plurality of pins of each of the plurality of IP cores, a specification file specifying one or more characteristics of the customized system on a chip based on the user specified high level design, and a verification testbench for verification of the user specified high level design.
    Type: Grant
    Filed: August 16, 2016
    Date of Patent: March 7, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jeffrey D. Harper, Kalpesh Hira, Giang Nguyen, Bill N. On, James M. Rakes
  • Patent number: 9589087
    Abstract: The method includes identifying a register-transfer level design description for a design. The method further includes identifying one or more tests to perform on the register-transfer level design description. The method includes generating a table of commands from the one or more tests to perform on the register-transfer level design description. The method includes generating a register-transfer level design description from the table of commands for at least one of a set of components including: a test driver for the design, a monitor for the design, and a checker for the design, wherein the register-transfer level design description assigns commands in the generated table of commands to be performed by a corresponding component in the set of components. The method includes simulating the identified one or more tests utilizing the generated register-transfer level design descriptions for at least one of the test driver, the checker, and the monitor.
    Type: Grant
    Filed: October 22, 2015
    Date of Patent: March 7, 2017
    Assignee: International Business Machines Corporation
    Inventor: Markus M. Helms
  • Patent number: 9582278
    Abstract: A system for generating processor hardware supports a language for significant extensions to the processor instruction set, where the designer specifies only the semantics of the new instructions and the system generates other logic. The extension language provides for the addition of processor state, including register files, and instructions that operate on that state. The language also provides for new data types to be added to the compiler to represent the state added. It allows separate specification of reference semantics and instruction implementation, and uses this to automate design verification. In addition, the system generates formatted instruction set documentation from the language specification.
    Type: Grant
    Filed: October 9, 2008
    Date of Patent: February 28, 2017
    Assignee: Cadence Design Systems, Inc.
    Inventors: Albert Ren-Rui Wang, Richard Ruddell, David William Goodwin, Earl A. Killian, Nupur Bhattacharyya, Marines Puig Medina, Walter David Lichtenstein, Pavlos Konas, Rangarajan Srinivasan, Christopher Mark Songer, Akilesh Parameswar, Dror E. Maydan, Ricardo E. Gonzalez
  • Patent number: 9576091
    Abstract: Embodiments herein describe performing an engineering change order (ECO) after a physical design team has begun (or finished) a physical design (PD) netlist. However, the ECO may describe changes or additions to the logic and/or nets using component names found in a design netlist that is different than the PD netlist. Embodiments herein rely on generating an equivalents nets file that the maps the components in the design netlist to the components in the PD netlist. When performing an ECO, the PD team can use this file to map the components in the ECO (which are based on the design netlist) to all the equivalent components in the PD netlist. The PD team then selects one of the equivalent components to alter as indicated in the ECO.
    Type: Grant
    Filed: September 3, 2015
    Date of Patent: February 21, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sean T. Evans, Thomas A. Haselhorst, Scott H. Mack
  • Patent number: 9569581
    Abstract: A method, executed by a computer, for routing a circuit includes receiving a logic structure for a circuit, retrieving a logic template, determining whether the logic structure for the circuit matches the logic template, and routing the circuit using a routing recipe corresponding to the logic template in response to determining that the logic structure matches the logic template. A corresponding computer program product and computer system are also disclosed herein.
    Type: Grant
    Filed: April 11, 2016
    Date of Patent: February 14, 2017
    Assignee: International Business Machines Corporation
    Inventors: Saurabh Gupta, Srujan Nadella, Padmashri Ramalingam, Sourav Saha
  • Patent number: 9564990
    Abstract: The present invention is directed to data communication system and methods. More specifically, embodiments of the present invention provide an apparatus that receives data from multiple lanes, which are then synchronized for transcoding and encoding. A pseudo random bit sequence checker may be coupled to each of the plurality of lanes, which is configured to a first clock signal A. Additionally, an apparatus may include a plurality of skew compensator modules. Each of the skew compensator modules may be coupled to at least one of the plurality of lanes. The skew-compensator modules are configured to synchronize data from the plurality of lanes. The apparatus additionally includes a plurality of de-skew FIFO modules. Each of the de-skew compensator modules may be coupled to at least one of the plurality of skew compensator modules.
    Type: Grant
    Filed: June 13, 2014
    Date of Patent: February 7, 2017
    Assignee: INPHI CORPORATION
    Inventors: Arun Tiruvur, Jamal Riani, Sudeep Bhoja
  • Patent number: 9563737
    Abstract: Methods and systems for checking or verifying shapes in electronic designs are disclosed. The method identifies a dictionary (if pre-existing) or determining the dictionary by creating the dictionary and reduces dimensionality of design data by using a sliced line. Shapes are transformed into sliced line segments along the sliced line. Dictionary entries for shapes are associated with corresponding sliced line segments, and the design is checked or verified using the sliced line segments and the associated dictionary entries rather than using two-dimensional shapes or geometric data. Sliced line segments may be further partitioned or merged. Non-conforming shapes corresponding to no tracks of track patterns are identified and determined whether violations of design rules or requirements may be resolved by one or more other shapes using the corresponding sliced line segments.
    Type: Grant
    Filed: June 27, 2014
    Date of Patent: February 7, 2017
    Assignee: Cadence Design Systems, Inc.
    Inventors: Alexandre Arkhipov, Jeffrey Markham, Karun Sharma
  • Patent number: 9542523
    Abstract: A method and apparatus for selecting data path elements for cloning within an integrated circuit (IC) design is described. The method comprises performing timing analysis of at least one data path within the IC design to determine at least one timing slack value for the at least one data path, calculating at least one annotated delay value for cloning a candidate element within the at least one data path, calculating at least one modified slack value for the at least one data path in accordance with the at least one calculated annotated delay value, and validating the cloning of the candidate element based at least partly on the at least one modified slack value.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: January 10, 2017
    Assignee: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Michael Priel, Asher Berkovitz, Slavaf Fleshel, Amir Grinshpon, Dan Kuzmin, Yoav Miller
  • Patent number: 9529633
    Abstract: A virtualization host may implement variable timeslices for processing latency dependent workloads. Multiple virtual compute instances on a virtualization host may utilize virtual central processing units (vCPUs) to obtain physical processing resources, such as one or more central processing units (CPUs). A vCPU currently utilizing a CPU to performing processing work according to a scheduled timeslice may be preempted by a latency dependent vCPU before completion of the scheduled timeslice. The latency-dependent vCPU may complete processing work, and utilization of the CPU may be returned to the vCPU. A preemption compensation may be determined for the scheduled timeslice to increase the scheduled timeslice for the vCPU such that utilization for the vCPU is performed according to the increased scheduled timeslice.
    Type: Grant
    Filed: September 11, 2014
    Date of Patent: December 27, 2016
    Assignee: Amazon Technologies, Inc.
    Inventors: William John Earl, John Merrill Phillips
  • Patent number: 9520461
    Abstract: An integrated circuit has a lateral flux capacitor assembly that includes a first metal layer having a capacitive portion with first and second lateral sides and first and second capacitive fingers, a first dummy metal lines portion positioned adjacent the first lateral side of the capacitive portion and a second dummy metal lines portion positioned adjacent the second lateral side of the capacitive portion. The first set of capacitive fingers is electrically connected to the first dummy metal lines portion and the second set of capacitive fingers is electrically connected to the second dummy metal lines portion. A method of making an integrated circuit assembly with a lateral flux capacitor includes electrically connecting a first plurality of capacitive fingers in a first metal layer to a first dummy metal lines portion of the first metal layer.
    Type: Grant
    Filed: August 28, 2015
    Date of Patent: December 13, 2016
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Zhi-Qi Li
  • Patent number: 9519744
    Abstract: A method, system, and computer program product to merge storage elements on multi-cycle signal distribution trees into multi-bit cells of an integrated circuit include determining initial placement regions and initial placement locations for a plurality of storage elements arranged in two or more levels on the one or more trees, determining potential merge storage elements among the plurality of storage elements, and merging one or more pairs of the potential merge storage elements across the one or more trees into the multi-bit cells based on satisfying an additional condition. The two or more levels of each of the one or more trees includes a root level closest to a tree source of the respective one or more trees and a leaf level closest to a tree sink of the respective one or more trees.
    Type: Grant
    Filed: December 7, 2015
    Date of Patent: December 13, 2016
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: William R. Migatz, Shyam Ramji
  • Patent number: 9501599
    Abstract: A system includes a user input engine to receive input via a graphical user interface (GUI) through a first window, the input including a distance value and an input resolution value. The system also includes a sensor circuit solution generation engine to generate a plurality of sensor circuit solutions based on the received input and to cause the plurality of sensor circuit solutions to be displayed. Each sensor circuit solution specifies information about a conductive coil.
    Type: Grant
    Filed: May 21, 2014
    Date of Patent: November 22, 2016
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Shrikrishna Srinivasan, Pradeep Chawda, Srikanth Pam, Dien Mac, Makram Mansour, Jeff Perry
  • Patent number: 9495496
    Abstract: A method of non-invasive insertion of logic functions into a register-transfer level (RTL) design, including: selecting a logic function to insert into a RTL design; identifying each hierarchical level executing at least a portion of the logic function; identifying a highest hierarchical level amongst hierarchical levels having each hierarchical level executing at least a portion of the logic function; and inserting all connections necessary to execute the logic function into a hardware description representation of the highest hierarchical level, without modifying a hardware description representation of any other hierarchical levels.
    Type: Grant
    Filed: December 18, 2014
    Date of Patent: November 15, 2016
    Assignee: International Business Machines Corporation
    Inventors: Stephen J. Barnfield, Ali S. El-Zein
  • Patent number: 9471742
    Abstract: A method includes (a) generating timing information of an integrated circuit (IC) floorplan by a processing unit, (b) displaying on a display device a representation of the IC floorplan according to the timing information, (c) receiving user input via an input device, the user input associated with an IC macro of the IC floorplan, (d) updating the timing information associated with the IC macro to generated updated timing information according to the user input, and (e) altering display of the representation according to the updated timing information.
    Type: Grant
    Filed: October 24, 2014
    Date of Patent: October 18, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yi-Lin Chuang, Huang-Yu Chen, Yun-Han Lee
  • Patent number: 9471727
    Abstract: Systems and methods for simulation with dynamic run-time accuracy adjustment. In one embodiment, a first portion of a sequence of software instruction is simulated by a first simulation model, during a simulation. During the same simulation, a second portion of the sequence is simulated by a second simulation model. State information may be transferred from the first simulation model to the second simulation model. A change from simulating the first portion of a sequence of software instructions by the first simulation model to simulating the second portion of the sequence by the second simulation model may be made responsive to a computer-based determination of an advantage obtained by the change.
    Type: Grant
    Filed: August 23, 2013
    Date of Patent: October 18, 2016
    Assignee: Synopsys, Inc.
    Inventors: Karl Van Rompaey, Andreas Wieferink
  • Patent number: 9454627
    Abstract: Systems and methods optimize hardware description generated from a graphical model automatically. The system may include an optimizer. The optimizer may add a serializer component and a deserializer component to the model. The serializer component may receive parallel data and may produce serial data. The serializer may introduce one or more idle cycles into the serial data being produced. The deserializer component may receive serial data and may produce parallel data. The serializer and deserializer components may receive and generate control signals. The control signals may include a valid signal for indicating valid data elements of the serial and parallel data, and a start the start signal for indicating the beginning of a new frame or cycle when constructing parallel data from serial data.
    Type: Grant
    Filed: March 6, 2015
    Date of Patent: September 27, 2016
    Assignee: The MathWorks, Inc.
    Inventors: Girish Venkataramani, Kiran K. Kintali, Wei Zang, Wang Chen
  • Patent number: 9405871
    Abstract: Determining delays of paths in a circuit design includes determining whether or not each path of the plurality of paths matches a path definition of a plurality of path definitions in a path database. For each path that matches a path definition, a first path delay value associated with the matching path definition is read from the path database and associated with the matching path of the circuit design. For each path that does not match any of the path definitions, respective element delay values of elements of the path are read from an element database. A second path delay value of the non-matching path is computed as a function of the respective element delay values, and the second path delay value is associated with the path. The first and second path delay values are output along with information indicating the associated paths.
    Type: Grant
    Filed: December 5, 2014
    Date of Patent: August 2, 2016
    Assignee: XILINX, INC.
    Inventors: Nagaraj Savithri, Vinod K. Nakkala, Atul Srinivasan, Sudip K. Nag
  • Patent number: 9405870
    Abstract: The present invention relates to a method for generating coverage data for a switch frequency of HDL or VHDL signals with the steps of providing a HDL or VHDL hardware description model within a register transfer level, providing a filtering algorithm or filtering rules for signals occurring in the HDL or VHDL hardware description model, extracting signals from the HDL or VHDL hardware description model according to said filtering algorithm or filtering rules in order to get relevant signals, performing a simulation process of the HDL or VHDL hardware description model, performing a checking routine for the relevant signals in every cycle and storing and/or cumulating the relevant signals in a data base. Further the present invention relates to a corresponding system.
    Type: Grant
    Filed: September 5, 2008
    Date of Patent: August 2, 2016
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Joerg Deutschle, Lothar Felten, Ursel Hahn, Klaus Keuerleber
  • Patent number: 9384311
    Abstract: A method of configuring an integrated circuit device with a user logic design includes placing and routing the user logic design, retiming the placed and routed user logic design, examining the retimed user logic design for at least one path that lacks sufficient registers for retiming, and rerouting the user logic design to find additional registers for further retiming the at least one path. Portions of the method may be performed iteratively until a condition, which may be a performance criterion, is met. The method may further include assuming the paths that are constrained have been repaired, and examining further paths downstream from those paths.
    Type: Grant
    Filed: July 25, 2014
    Date of Patent: July 5, 2016
    Assignee: Altera Corporation
    Inventors: Nishanth Sinnadurai, Gordon Raymond Chiu
  • Patent number: 9355000
    Abstract: A system and method evaluates power information for a high-level model to be implemented in target hardware, and performs one or more power-reducing transmutations on the model. Transmutations may include moving one or more components from a fast rate region to a slow rate region, reducing bit width of data, signals, or other values, and replacing multiple instances of a resource with a shared instance of the resource. An in-memory representation of the model may be generated that reduces the model to a plurality of core components. A power score evaluation engine may assign power scores to the core components. Power scores may be retrieved from one or more power score database. The power scores may be non-dimensional scores representing power consumption relationships among the core components, and be target independent. Hints or alerts regarding suggested changes to the model to optimize power consumption may be presented to a user. A revised model incorporating the suggested changes may be constructed.
    Type: Grant
    Filed: August 23, 2011
    Date of Patent: May 31, 2016
    Assignee: THE MATHWORKS, INC.
    Inventors: Partha Biswas, Zhihong Zhao, Wang Chen, Yongfeng Gu
  • Patent number: 9355198
    Abstract: A method for designing a system on a target device includes identifying requirements for a control status register (CSR) to be implemented on the system. Register transfer level description for the CSR is generated in response to the requirements identified.
    Type: Grant
    Filed: December 16, 2013
    Date of Patent: May 31, 2016
    Assignee: Altera Corporation
    Inventor: Sean R. Atsatt
  • Patent number: 9348946
    Abstract: An interface is provided for permitting a user to explore a collection of data. The data collection, in embodiments, provides nodes as structural elements, and references which are assigned to nodes and hold identifiers of other nodes. Multiple references can be assigned to each node, thus guiding a user of the system to multiple other nodes, and multiple references can hold the same address, so that multiple nodes can have references pointing to the same node. The interface allows visualizing the network created by the interconnection of the nodes on a display region. The interface also allows the user to intuitively navigate along the references in both directions of the references, so that the user can explore which nodes are referenced by a certain node, and also by which nodes a certain node is referenced.
    Type: Grant
    Filed: November 2, 2012
    Date of Patent: May 24, 2016
    Assignee: XBRANCH, LLC
    Inventors: Thomas Weise, Ruedger Rubbert
  • Patent number: 9305166
    Abstract: A method for detecting a timing channel in a hardware design includes synthesizing the hardware design to gate level. Gate level information flow tracing is applied to the gate level of the hardware design via a simulation to search for tainted flows. If a tainted flow is found, a limited number of traces are selected. An input on the limited number of traces is simulated to determine whether the traces are value preserving with respect to taint inputs, and to determine that a timing flow exists if the traces are value preserving with respect to the taint inputs.
    Type: Grant
    Filed: March 7, 2014
    Date of Patent: April 5, 2016
    Assignee: The Regents of the University of California
    Inventors: Ryan Kastner, Jason Oberg, Sarah Meiklejohn, Timothy Sherwood
  • Patent number: 9286425
    Abstract: A design structure is embodied in a machine readable medium for designing, manufacturing, or testing a design. The design structure includes a structure which comprises a high-leakage dielectric formed in a divot on each side of a segmented FET comprised of active silicon islands and gate electrodes thereon, and a low-leakage dielectric on the surface of the active silicon islands, adjacent the high-leakage dielectric, wherein the low-leakage dielectric has a lower leakage than the high-leakage dielectric. Also provided is a structure and method of fabricating the structure.
    Type: Grant
    Filed: January 23, 2013
    Date of Patent: March 15, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Brent A. Anderson, Edward J. Nowak
  • Patent number: 9258004
    Abstract: A method is provided for supplying a customized data converter fabricated from a universal function die. The method initially fabricates a plurality of universal data converter dice. Each universal data converter die is capable of performing a first plurality of data conversion algorithms. After the dice are made, each universal data converter die is tested to verify the performance of the first plurality of data conversion algorithms. Subsequently, a request is received for a customized data converter capable of performing a first data conversion function, which is selected from among the first plurality of data conversion algorithms. The method then fabricates a customized data converter capable of performing the first data conversion function, using a tested universal data converter die. The unselected data converter functions are disabled (not enabled). A configuration interface may be used to enable the requested data conversion function.
    Type: Grant
    Filed: March 13, 2015
    Date of Patent: February 9, 2016
    Assignee: IQ-Analog Corporation
    Inventor: Michael Kappes
  • Patent number: 9245078
    Abstract: A design system for designing an integrated circuit, and the design system includes a processor and a computer readable medium embodying computer program code. The computer program code includes instructions executable by the processor and configured to cause the processor to: modify a circuit design of the integrated circuit to compensate for an impact of layout parameters of the circuit design; generate at least one recommended layout parameter of an integrated circuit device within the integrated circuit; calculate a circuit performance parameter of the integrated circuit using the at least one recommended layout parameter; and generate a layout design of the modified circuit design of the integrated circuit according to the at least one recommended layout parameter.
    Type: Grant
    Filed: June 20, 2014
    Date of Patent: January 26, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Mu-Jen Huang, Yu-Sian Jiang, Chien-Wen Chen
  • Patent number: 9235670
    Abstract: A method and a system embodying the method for automatic generation of a verification environment, comprising providing a first model of an application-specific instruction-set processor; providing a second model of the application-specific instruction-set processor; and generating automatically the verification environment from the first model and the second model is disclosed.
    Type: Grant
    Filed: February 18, 2014
    Date of Patent: January 12, 2016
    Assignee: CODASIP, s.r.o.
    Inventors: Zden{hacek over (e)}k P{hacek over (r)}ikryl, Marcela {hacek over (S)}imková, Karel Masa{hacek over (r)}ík
  • Patent number: 9201996
    Abstract: A behavioral synthesis apparatus includes a determination unit that determines whether or not a loop description should be converted into a pipeline, and a synthesis unit that performs behavioral synthesis while setting a stricter delay constraint for a loop description that is converted into a pipeline than a loop description that is not converted into a pipeline.
    Type: Grant
    Filed: June 20, 2013
    Date of Patent: December 1, 2015
    Assignee: Renesas Electronics Corporation
    Inventors: Takao Toi, Taro Fujii, Noritsugu Nakamura
  • Patent number: 9195789
    Abstract: A system and a method are disclosed for verifying the implementation of a computer chip design. A design including one or more interpretive computer programing language modules and one or more hardware description language (HDL) modules is received. When one of the interpretive programing language modules requests to communicate with one of the HDL modules, the HDL module is enabled and the input arguments from the interpretive programing language module are pipelined into the HDL module. Pipelined output data is received from the HDL module. The received output data is formatted and returned to the interpretive programing language module.
    Type: Grant
    Filed: July 3, 2014
    Date of Patent: November 24, 2015
    Assignee: Synopsys, Inc.
    Inventors: Raj Shekher Mitra, Amit Sharma
  • Patent number: 9167672
    Abstract: The invention relates to automatically verifying the possibility of rendering a lighting atmosphere from an abstract description, for example from a lighting atmosphere specified in XML (Extensible Markup Language) independent of a specific lighting infrastructure and of a room layout. A basic idea of the invention is to translate the possibilities of a lighting infrastructure into so called light element templates. A light element template contains an indication of the possibilities of the lighting infrastructure at a certain semantic location, for example in a shop or a home in which the lighting infrastructure is provided. For every type of light effect of a lighting infrastructure, a different light element template may be created. This allows to automatically verify the possibility of rendering a lighting atmosphere from an abstract description in a lighting infrastructure at an early stage of the lighting atmosphere design process.
    Type: Grant
    Filed: December 19, 2007
    Date of Patent: October 20, 2015
    Assignee: Koninklijke Philips N.V.
    Inventors: Dirk Valentinus Rene Engelen, Mark Henricus Verberkt, Leon C. A. Van Stuivenberg
  • Patent number: 9165090
    Abstract: A method for concise modeling including receiving a first model mapping a plurality of prototype connections between a plurality of prototype objects, receiving at least one dataset having a plurality of optional objects, each object matching one of the prototype objects, and automatically constructing a second model having at least one of the optional objects mapped according to the first model.
    Type: Grant
    Filed: September 30, 2012
    Date of Patent: October 20, 2015
    Assignee: International Business Machines Corporation
    Inventors: Henry Broodney, Dolev Dotan, Lev Greenberg, Michael Masin
  • Patent number: 9158328
    Abstract: Dynamic power consumption is reduced by clock gating registers that synchronize memory input signals in an embedded memory array. Where a memory enable signal associated with a memory interface input signal does not meet setup timing for clock gating input registers of the memory interface signal, a clock gate enable signal may be generated prior to evaluation of the memory enable signal. The clock gate enable signal includes all functions of the memory enable signal and additional conditions because it is generated prior to evaluation of conditions on which the memory enable signal may depend. Pre-evaluated clock gate enable signals may be generated within a processor core and used to clock gate read address registers, write address registers, data input registers, and/or CAM reference address registers of an embedded memory array.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: October 13, 2015
    Assignee: Oracle International Corporation
    Inventors: Heechoul Park, Song Kim, Jungyong Lee
  • Patent number: 9147026
    Abstract: A computer implemented method and system of change evaluation of an electronic design for verification confirmation. The method has the steps of receiving the electronic design comprised a subcomponent, employing a banked signature of data representative of the subcomponent, receiving a review request of the subcomponent, generating a current signature of the data representative of the subcomponent and determining a difference of the current signature and the banked signature.
    Type: Grant
    Filed: December 3, 2014
    Date of Patent: September 29, 2015
    Assignee: Zipalog, Inc.
    Inventors: Michael Krasnicki, Yue Deng
  • Patent number: 9147035
    Abstract: A verifying method of an optical proximity correction is provided. The verifying method includes the following steps. A first netlist file is extracted from an integrated pre-OPC layout. A first post-OPC layout and a second post-OPC layout are merged to be an integrated post-OPC layout. A second netlist file is extracted from the integrated post-OPC layout. The first netlist file and the second netlist are compared.
    Type: Grant
    Filed: November 5, 2014
    Date of Patent: September 29, 2015
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Kuo-Hsun Huang, Chao-Yao Chiang, Chien-Hung Chen
  • Patent number: 9111059
    Abstract: A dynamically reconfigurable framework manages processing applications in order to meet time-varying constraints to select an optimal hardware architecture. The optimal architecture satisfies time-varying constraints including for example, supplied power, required performance, accuracy levels, available bandwidth, and quality of output such as image reconstruction. The process of determining an optimal solution is defined in terms of multi-objective optimization using Pareto-optimal realizations.
    Type: Grant
    Filed: November 1, 2013
    Date of Patent: August 18, 2015
    Assignee: STC.UNM
    Inventors: Marios Stephanou Pattichis, Yuebing Jiang, Daniel Rolando Llamocca Obregon
  • Patent number: 9110570
    Abstract: A method includes performing an analysis or synthesis operation on a graphical model representation, producing a report from the analysis or synthesis operation and generating associations representing elements of the graphical model representation with corresponding elements in the report and using these associations as a way to reposition viewer based on actions to the graphical model representation.
    Type: Grant
    Filed: October 7, 2011
    Date of Patent: August 18, 2015
    Assignee: THE MATHWORKS, INC.
    Inventor: William J. Aldrich
  • Patent number: 9098667
    Abstract: Disclosed are methods, systems, and articles of manufactures for implementing a physical design with force directed placement or floorplanning and layout decomposition by identifying multiple nodes and then iteratively generating multiple cells by using the multiple nodes in a decomposition process and applying force model(s) to iteratively morph the cells until convergence criteria are satisfied to generate a layout or floorplan of an electronic design without requiring complete conductivity for the electronic design. The initially identified custom conductivity information is maintained throughout this iterative process.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: August 4, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventors: Thaddeus C. McCracken, Joseph P. Jarosz
  • Patent number: 9053289
    Abstract: Disclosed is a method and system for visualizing legal locations of edges and dimensions for an object being placed or edited in the layout. During the design process, visual indicators may be provided to the user to indicate the legal locations at which edges of an object may be placed in the layout. Gravitation and/or snapping may be provided automatically identify and/or move the edges to the legal locations. However, the user can control whether and under what circumstances the gravitation and/or snapping will occur. In this way, the designer does not need to manually place the edges of every single object, which is especially helpful for objects that are intended to have an edge at a legal location. Upon a design choice by the designer, the objects can be edited so that an edge does not need to immediately comply with a design rule.
    Type: Grant
    Filed: March 31, 2014
    Date of Patent: June 9, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventors: Gilles S. C. Lamant, Henry Yu, Simon Simonian, Johannes Franz Xaver Grad, Jeff Taraldson
  • Publication number: 20150145558
    Abstract: Method for creating digital circuits of an MPC controller (2), which implements an approximation technique for Model Predictive Control (MPC), in which a quadratic programming (QP) or linear programming (LP) optimization problem is formulated by starting from a model defined over a set of states of a state (x), wherein the said set of states is partitioned into simplices identified by vertices (v), and wherein said method comprises the steps of a) compute a solution (w*) of the optimization problem and define a control law (u(x)); b) check the stability under the control law (u(x)); c) synthesize a digital circuit by starting from the control law (u(x)) and the vertices (vi).
    Type: Application
    Filed: May 21, 2013
    Publication date: May 28, 2015
    Inventors: Marco Storace, Tomaso Poggi, Alberto Oliveri, Alberto Bemporad
  • Publication number: 20150138867
    Abstract: Wordline decoder circuits for an embedded Multi-Time-Read-Only-Memory that includes a plurality of NMOS memory cells coupled to a plurality of wordlines in each row. The wordline decoder circuits control the charge trap behavior of the target NMOS memory array by the mode-dependent wordline high voltage (VWLH) and wordline low voltage (VWLL) trapping the charge in a programming mode by applying an elevated wordline voltage (EWLH) to one of the plurality of WLs, while de-trapping the charge in a reset mode by applying a negative wordline voltage (NWLL) to the entire array. The mode dependent voltage control is realized by switching to couple EWLH to VWLH in a programming mode, otherwise VDD to VWLH, while coupling NWLL to VWLL in a reset mode, otherwise, GND to VWLL. The switch includes plural gated diodes from VWLH with the wordline high protection voltage of VWLH_PR generated by lowering VWLH determined by gated diodes times threshold voltage.
    Type: Application
    Filed: November 20, 2013
    Publication date: May 21, 2015
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Toshiaki Kirihata, Derek H. Leu, Ming Yin
  • Publication number: 20150137256
    Abstract: A finFET block architecture suitable for use of a standard cell library, is based on an arrangement including a first set of semiconductor fins in a first region of the substrate having a first conductivity type, and a second set of semiconductor fins in a second region of the substrate, the second region having a second conductivity type. A patterned gate conductor layer including gate traces in the first and second regions, arranged over channel regions of the first and second sets of semiconductor fins is used for transistor gates. Patterned conductor layers over the gate conductor layer are arranged in orthogonal layout patterns, and can include a plurality of floating power buses over the fins in the first and second regions.
    Type: Application
    Filed: December 15, 2014
    Publication date: May 21, 2015
    Applicant: SYNOPSYS, INC.
    Inventors: JAMIL KAWA, VICTOR MOROZ, DEEPAK D. SHERLEKAR
  • Patent number: 9038004
    Abstract: A method of creating a datasheet includes obtaining integrated circuit data from at least one data source, creating a data structure including the integrated circuit data obtained from the at least one data source, and creating a datasheet using data contained in the data structure. The datasheet is created in a human-readable format.
    Type: Grant
    Filed: October 23, 2013
    Date of Patent: May 19, 2015
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Keith A. Ford, Rohit Shetty, Sebastian T. Ventrone
  • Patent number: 9032343
    Abstract: This disclosure relates generally to field-programmable gate arrays (FPGAs). Some implementations relate to methods and systems for transmitting and integrating an intellectual property (IP) block with another user's design. The IP developer can design the IP block to include both a secret portion and a public portion. The IP block developer can send or otherwise provide the IP block to another IP user without disclosing the functional description of the secret portion of the IP block. In some implementations, the IP developer provides the public portion to the IP user at the register-transfer-level (RTL) level, as a hardware description language (HDL)-implemented design, or as a synthesizable netlist. In some implementations, the IP developer provides the secret portion of the IP block to the user in the form of programming bits without providing an HDL, RTL, or netlist implementation of the secret portion.
    Type: Grant
    Filed: December 13, 2013
    Date of Patent: May 12, 2015
    Assignee: Altera Corporation
    Inventor: David Samuel Goldman
  • Patent number: 9032345
    Abstract: A method, a system and a computer readable medium for providing information relating to a verification of a digital circuit. The verification may be formal verification and comprise formally verifying that a plurality of formal properties is valid for a representation of the digital circuit. The method comprises replacing at least a first input value relating to the representation of the digital circuit by a first free variable, determining if at least one of the plurality of formal properties is valid or invalid after replacing the first input value by the first variable and indicating if the at least one of the plurality of formal property is valid or invalid. The use of a free or open variable that has not determined value can be directly in the description or representation of the digital circuit. It is not necessary to insert errors or to apply an error model.
    Type: Grant
    Filed: March 28, 2014
    Date of Patent: May 12, 2015
    Assignee: Onespin Solutions GmbH
    Inventor: Raik Brinkmann