Design Entry Patents (Class 716/102)
  • Patent number: 8756538
    Abstract: A method for implementing a hardware design that includes using a computer for receiving structured data that includes a representation of a basic hardware structure and a complex hardware structure that includes the basic hardware structure, parsing the structured data and generating, based on a result of the parsing, commands of a hardware design environment.
    Type: Grant
    Filed: February 19, 2013
    Date of Patent: June 17, 2014
    Assignee: International Business Machines Corporation
    Inventors: Hans-Werner Anderson, Uwe Brandt, Markus Buehler, Katherine Eve, Thomas Kalla, Jens Noack, Monika Strohmer
  • Patent number: 8756539
    Abstract: Maintaining a netlist while editing a circuit diagram. The circuit diagram may be displayed on a display. The circuit diagram may include a plurality of electronic components connected by nets and may also include modular block(s) which represent a circuit portion in a hierarchical fashion. A global netlist may be stored that includes information regarding the nets of the circuit diagram. User input may be received which modifies the circuit diagram. Accordingly, the global netlist may be updated in response to the user input modifying the circuit diagram. The circuit diagram may be updated on the display based on updating the global netlist. Receiving the user input and updating the global netlist and circuit diagram may be performed a plurality of times, in a dynamic fashion during edit time.
    Type: Grant
    Filed: July 31, 2009
    Date of Patent: June 17, 2014
    Assignee: National Instruments Corporation
    Inventors: B. Alexander Elliott, Rodney A. J. Draaisma
  • Patent number: 8752033
    Abstract: A system interface of a processing system receives an indication to initiate configuration of a programmable system. A processing device coupled to the system interface and associated with an integrated development environment, responsive to the indication, translates a hardware description code into one or more configuration files specific to the programmable system, the hardware description code to describe circuitry in the programmable system. The processing device further generates program code for a microcontroller of the programmable system based, at least in part, on the hardware description code, and configures the programmable system to implement the circuitry according to the configuration files and the program code. In addition, the processing device debugs the programmable system as configured by the configuration files and the program code.
    Type: Grant
    Filed: January 11, 2013
    Date of Patent: June 10, 2014
    Assignee: Cypress Semiconductor Corporation
    Inventors: Haneef Mohammed, Jack Griffin
  • Patent number: 8751983
    Abstract: A design partitioning method and apparatus includes an RTL reader module configured to receive, process, and parse hardware descriptive language of a circuit design; an expression graph module configured to trace identified signal dependencies to determine dependent elements along selected paths within the circuit design; a hierarchy flattener module configured to remove existing circuit design hierarchies based on the identified signal dependencies and determined dependent elements; a partition specification reader module that defines selected paths within the circuit design into a partition specification; a design partitioner module configured to separate the flattened circuit design hierarchy according to the partition specification; a re-partitioner module configured to create a second hierarchical circuit design structure based on the separated, flattened circuit design hierarchy that is behaviorally identical to the circuit design; and an RTL design write-out module configured to output the second hiera
    Type: Grant
    Filed: March 7, 2013
    Date of Patent: June 10, 2014
    Assignee: Oracle International Corporation
    Inventors: Thomas Mitchell, Krishnan Sundaresan, Quan Tran, Yibin Xia
  • Publication number: 20140157214
    Abstract: Techniques for determining and a computing device configured to determine a quantum Karnaugh map through decomposing a quantum circuit into a multiple number of sub-circuits are provided. Also, techniques for obtaining and a computing device configured to obtain a quantum circuit which includes the minimum number of gates among possible quantum circuits corresponding to a quantum Karnaugh map are also provided.
    Type: Application
    Filed: February 5, 2014
    Publication date: June 5, 2014
    Applicant: UNIVERSITY OF SEOUL INDUSTRY COOPERATION FOUNDATION
    Inventor: Doyeol AHN
  • Patent number: 8745557
    Abstract: A system and method optimizes hardware description code generated from a graphical program or model automatically. The system may include a streaming optimizer, and a delay balancing engine. The streaming optimizer transforms one or more vector data paths in the source model to scalar data paths or to a smaller-sized vector data paths. The streaming optimizer may also configure portions of the modified model to execute at a faster rate. The delay balancing engine may examine the modified model to determine whether any delays or latencies have been introduced. If so, the delay balancing engine may insert one or more blocks into the modified model to correct for any data path misalignment caused by the introduction of the delays or latencies. A validation model, a report, or hardware description code that utilizes fewer hardware resources may be generated from the modified model.
    Type: Grant
    Filed: December 8, 2010
    Date of Patent: June 3, 2014
    Assignee: The MathWorks, Inc.
    Inventors: Girish Venkataramani, Kiran Kintali, Pieter J. Mosterman
  • Patent number: 8737028
    Abstract: Methods for responding to an electrostatic discharge (ESD) event on a voltage rail, ESD protection circuits, and design structures for an ESD protection circuit. An RC network of the ESD protection circuit includes a capacitor coupled to a field effect transistor at a node. The node of the RC network is coupled with an input of the inverter. The field-effect transistor is coupled with an output of the inverter. In response to an ESD event, a trigger signal is supplied from the RC network to the input of the inverter, which drives a clamp device to discharge current from the ESD event from the voltage rail. An RC time constant of the RC network is increased in response to the ESD event to sustain the discharge of the current by the clamp device.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: May 27, 2014
    Assignee: International Business Machines Corporation
    Inventors: James P. Di Sarro, Robert J. Gauthier, Jr., Tom C. Lee, Junjun Li, Souvick Mitra
  • Patent number: 8732632
    Abstract: SOC designs increasingly feature IP cores with standardized wrapper cells having vendor-provided test patterns for the internal logic. To test wrapper, interconnect, and other boundary logic, a boundary model is extracted from the design in a synthesis or ATPG environment. Wrapper cells are identified and boundary logic extracted by structural tracing of wrapper chains and tracing from core inputs/outputs to the wrapper cells. A created boundary model excludes core internal logic tested by vendor-provided test patterns to be migrated to the containing chip interface. An SOC ATPG model is built including boundary models for all embedded cores, interconnects, and any other logic residing at the SOC top hierarchical level. This model is very compact yet accurate for testing logic external to all embedded cores. Test time is reduced and test pattern generation greatly simplified, while featuring good test coverage. The same approach is used for 3D packages having multiple dies.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: May 20, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Brion Keller, Pradeep Nagaraj, Richard Schoonover, Vivek Chickermane
  • Patent number: 8732631
    Abstract: Systems, apparatus and methods for handling verification violations are disclosed. In one aspect, a method stores a list of fix information in addition to geometric shapes for each layer during verification, such as design rule checking For each primitive operation step performed during verification, two tasks are performed. First, if the primitive operation is a dimensional checking operation (i.e., width, spacing or enclosure), then for each violation, the first task creates fix information containing violation edge pairs and adds the created fix information to the fix information list on the output layer. Second, for all operations and after the output shapes on the output layer are generated, a second task passes the fix information on input layers which overlap any output shape of the output layer to the output layer's fix information list. Finally, fix guides for the final violation results are generated and drawn based on the final fix information list.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: May 20, 2014
    Assignee: Synopsys, Inc.
    Inventors: Min-Yi Fang, Kai-Jyun Nian, Zhen-Min Wu, Shun-Chin Chang, Yu-Chi Su
  • Patent number: 8726209
    Abstract: A system and method are provided for establishing a debugging environment in an Electronic Design Automation work-flow. A user-interface is provided for interfacing with users by displaying a list of debuggable parameters, accepting a selection thereof, and automatically locating both the callback function which sets the selected parameter, and the source code file which contains the callback function. Additionally, it is determined whether the callback function sets solely the selected parameter, or several different parameters, and an automatic breakpoint is set accordingly to break only responsive to the selected parameter. On execution of the modified callback function, execution will be arrested by the automatically-set intelligent breakpoint and a debugging user-interface will be generated for the user to display the relevant source code, callback function, parameter names and values, system state, and the like. Upon completion of the debugging process, the automatically-set breakpoint will be removed.
    Type: Grant
    Filed: February 14, 2012
    Date of Patent: May 13, 2014
    Assignee: C{dot over (a)}dence Design System, Inc.
    Inventors: Gilles S. C. Lamant, Li-Chien Ting, Serena Chiang Caluya, Chia-Fu Chen
  • Publication number: 20140126273
    Abstract: A domino static random access memory (SRAM) having one or more SRAM memory cells connected with a local bit line is disclosed. The SRAM may include a precharge device connected between a voltage supply and the local bit line, and global bit line (GBL) discharge logic connected between a local bit line and a GBL. The GBL discharge logic transfers a logic value of the local bit line to the GBL during a read operation. GBL precharge logic connects the GBL to a global precharge input. The GBL precharge logic is adapted to draw the GBL to a precharge voltage above a discharge voltage and below a supply voltage during a precharge operation.
    Type: Application
    Filed: November 2, 2012
    Publication date: May 8, 2014
    Applicant: International Business Machines Corporation
    Inventors: Derick G. Behrends, Todd A. Christensen, Travis R. Hebig, Michael Launsbach
  • Patent number: 8719745
    Abstract: A system and method are provided for establishing an automated debugging environment in an Electronic Design Automation (EDA) work flow for the debugging of parameterized cells (PCELLS/PyCELLS) in a layout. A user may merely select a particular PCELL within a hierarchical PCELL and the system and method will determine dependencies thereof. The source code for the selected PCELL and its dependencies may be located and loaded. At least one breakpoint may be set in the source code of the selected PCELL. The source code for the selected PCELL and its dependencies may be executed to be arrested at the set breakpoints. Upon the arrest of execution, a debugging environment may be established and the located source code of the selected PCELL may be displayed along with values for parametric components thereof and progression control tools.
    Type: Grant
    Filed: November 27, 2012
    Date of Patent: May 6, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Li-Chien Ting, Nikolay Vladimirovich Anufriev, Alexey Nikolayevich Peskov, Serena Chiang Caluya, Chia-Fu Chen
  • Patent number: 8719752
    Abstract: Techniques in integrated circuit design systems for generating one or more models for use in a hierarchical crosstalk noise analysis. For example, a method comprises the following steps. At least one equivalent cell noise model is generated for a circuit under modeling. The circuit under modeling comprises a plurality of cells useable in an integrated circuit design. The equivalent cell noise model is generated based on each one of the plurality of cells that have connections that terminate at ports of the circuit under modeling. The equivalent cell noise model is utilized in a hierarchical crosstalk noise analysis for the integrated circuit design.
    Type: Grant
    Filed: January 22, 2013
    Date of Patent: May 6, 2014
    Assignee: LSI Corporation
    Inventors: Lun Ye, Edward C. Morgan
  • Patent number: 8719764
    Abstract: Techniques are provided to allow users of Electronic Design Automation (EDA) tools to define constraint template types for efficiently associating constraints with design objects to specify design intent. Processes for creating and validating the constraints are provided by user-defined plug-ins, thereby allowing users to annotate circuit designs with constraints for proprietary layout and fabrication processes without exposing the constraints to tool vendors or competitors. The constraints are re-created from the template types whenever the circuit design is loaded into the EDA tool, so that the constraints are based upon the latest version of the template type. The constraints are also re-created when validation fails. Constraint groups are reusable in different designs, technology nodes, and processes because they automatically expand to provide the specific constraints to achieve a goal.
    Type: Grant
    Filed: November 25, 2008
    Date of Patent: May 6, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventor: George B. Arsintescu
  • Patent number: 8719741
    Abstract: A semiconductor integrated circuit device is disclosed. The semiconductor integrated circuit device includes a first circuit whose output never or seldom changes when the output from an Enable generator is off, a second circuit whose output frequently changes, an input controller which receives the respective outputs from the second circuit and the Enable generator and passes through the input from the second circuit only when the output from the Enable generator is on, a combination circuit which receives the respective outputs from the first circuit and the input controller, and a memory which receives the output from the combination circuit and is driven by the output from the clock controller.
    Type: Grant
    Filed: September 16, 2010
    Date of Patent: May 6, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hirotsugu Kajihara
  • Publication number: 20140123086
    Abstract: Systems and methods are provided for extracting parasitics in a design of an integrated circuit with multi-patterning requirements. The method includes determining resistance solutions and capacitance solutions. The method further includes performing parasitic extraction of the resistance solutions and the capacitance solutions to generate mean values for the resistance solutions and the capacitance solutions. The method further includes capturing a multi-patterning source of variation for each of the resistance solutions and the capacitance solutions during the parasitic extraction. The method further includes determining a sensitivity for each captured source of variation to a respective vector of parameters. The method further includes determining statistical parasitics by multiplying each of the resistance solutions and the capacitance solutions by the determined sensitivity for each respective captured source of variation.
    Type: Application
    Filed: October 31, 2012
    Publication date: May 1, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Nathan BUCK, Brian DREIBELBIS, John P. DUBUQUE, Eric A. FOREMAN, Peter A. HABITZ, David J. HATHAWAY, Jeffrey G. HEMMETT, Natesan VENKATESWARAN, Chandramouli VISWESWARIAH, Vladimir ZOLOTOV
  • Publication number: 20140123085
    Abstract: A method includes generating a circuit design and executing a simulation of the circuit design at a plurality of time slices. Type 1 damage and type 2 damage are determined for each time slice. A total type 1 damage is provided as a sum of the type 1 damage for all of the slices in which type 1 damage is greater than type 2 damage. A total type 2 damage is similarly added for the slices where the type 2 damage is dominant. A type 1 aging effect is determined based on the total type 1 damage. A type 2 aging effect is determined based on the total type 2 damage. The type 1 aging effect is added to the type 2 aging effect to obtain a total aging effect. The circuit design is tested using the total aging effect to determine if the circuit design provides adequate lifetime performance.
    Type: Application
    Filed: October 31, 2012
    Publication date: May 1, 2014
    Inventors: MEHUL D. SHROFF, PETER P. ABRAMOWITZ
  • Publication number: 20140119097
    Abstract: A resistance-based memory includes a two-diode access device. In a particular embodiment, a method includes biasing a bit line with a first voltage. The method further includes biasing the sense line with a second voltage. Biasing the bit line and biasing the sense line generates a current through a resistance-based memory element and through one of a first diode and a second diode. A cathode of the first diode is coupled to the bit line and an anode of the second diode is coupled to the sense line.
    Type: Application
    Filed: January 6, 2014
    Publication date: May 1, 2014
    Applicant: QUALCOMM Incorporated
    Inventors: Wuyang Hao, Jungwon Suh, Kangho Lee, Taehyun Kim, Jung Pill Kim, Seung Hyuk Kang
  • Patent number: 8713493
    Abstract: The present invention provides a method for resolving a circuit connection violation that comprises categorizing a circuit chain with the connection violation into a class, and performing one or more transformation algorithms on the circuit chain from the group consisting of a chain mirror, a cascade mirror, a cascade mirror permute, and a cut chain mirror algorithm based on the class of the circuit chain.
    Type: Grant
    Filed: June 18, 2010
    Date of Patent: April 29, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: David Mallon, Kenny Mackie
  • Patent number: 8713492
    Abstract: There is provided a data processing apparatus (1) including a logic circuit (10) that is reconfigurable in each cycle and a library (2) that stores hardware control information (20). The hardware control information (20) includes a plurality of pieces of cycle-based mapping information (21) for individually mapping a plurality of cycle-based circuits, which each realize a function in each cycle for executing an application, onto the logic circuit (10) and configuration selection information (22) for selecting at least one of the plurality of pieces of cycle-based mapping information according to an execution state of the application. The data processing apparatus (1) includes a control unit (11) that reconfigures at least part of the logic region (10) using at least one of the plurality of pieces of cycle-based mapping information (21) according to a request in each cycle based on the configuration selection information (22).
    Type: Grant
    Filed: July 7, 2010
    Date of Patent: April 29, 2014
    Assignee: Fuji Xerox Co., Ltd.
    Inventor: Hiroki Honda
  • Patent number: 8712741
    Abstract: A system may include a database configured to store information including characteristics of a plurality of components. The system may further include a server in communication with the database and configured to: receive design parameters indicative of a plurality of power supply loads to be powered; determine a plurality of power supply architectures that may be used to provide power supply solutions satisfying the plurality of loads, each power supply architecture including at least one position requiring a component configured to satisfy a load requirement; for each one of at least a subset of the plurality of power supply architectures, determine, based on the characteristics of the plurality of components, at least one component configured to satisfy the corresponding load requirement for each position of the one of the power supply architectures; and generate at least one power supply design in accordance with the power supply architectures and the determined components.
    Type: Grant
    Filed: June 27, 2011
    Date of Patent: April 29, 2014
    Assignee: National Semiconductor Corporation
    Inventors: Jeffrey Robert Perry, Martin Garrison, Dien Mac, Khang Nguyen, Ajay Padgaonkar, Phil Gibson, Scott Hung, Werner Berns
  • Publication number: 20140115547
    Abstract: The present invention relates to a method of method of generating parameterized integrated circuit units in a plurality of platforms. The said method comprising: (1) designing parameterized units in a graphic user interface and defining their constrain relations; (2) transforming the parameterized units to scripts. The invention providing a method of designing parameterized units in a graphical user interface without editing parameterized unit scripts, reducing the complexity of the design process and the design cycle; in addition, it is very easy for users to design and maintenance; at the same time, increasing the portability.
    Type: Application
    Filed: May 18, 2013
    Publication date: April 24, 2014
    Applicant: Semitronix Corporation
    Inventor: YONGJUN ZHENG
  • Patent number: 8707224
    Abstract: A method of simplifying a combinational circuit establishes an initial combinational circuit operable to calculate a set of target signals. A quantity of multiplication operations performed in a first portion of the initial combinational circuit is reduced to create a first, simplified combinational circuit. The first portion includes only multiplication operations and addition operations. A quantity of addition operations performed in a second portion of the first, simplified combinational circuit is reduced to create a second, simplified combinational circuit. The second portion includes only addition operations. Also, the second, simplified combinational circuit is operable to calculate the target signals using fewer operations than the initial combinational circuit.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: April 22, 2014
    Assignees: The United States of America, as Represented by the Secretary of Commerce, The National Institute of Standards & Technology, University of Southern Denmark
    Inventors: Rene Caupolican Peralta, Joan Boyar
  • Patent number: 8707225
    Abstract: In one embodiment of the invention, a method of designing an integrated circuit including a subtraction arithmetic function is provided. The method includes generating a netlist of an area-efficient subtractor to subtract a first input vector from a second input vector. A netlist of a plurality of reduced full subtractor cells is generated with each including an exclusive-NOR gate evaluating a shared Boolean expression to generate a sum bit output and a carry bit output. The netlist of the reduced full subtractor cell is replicated for all bits of the area-efficient subtractor but for the least significant bit. One of a plurality of netlists of subtractor cells is selected for the least significant bit of the area-efficient subtractor in response to a flex bit.
    Type: Grant
    Filed: April 7, 2006
    Date of Patent: April 22, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventor: Sabyasachi Das
  • Patent number: 8700378
    Abstract: A graphical model is received and includes a plurality of entities and connectivity information between the entities. The entities include properties, behavioral descriptions, and optionally behavioral constraints. A symbolic expression is received. The symbolic expression represents a property of a first entity in the graphical model. A second entity is identified. The second entity includes the property represented by the symbolic expression. The second entity is identified based on at least one of the connectivity information, a behavioral description, or a behavioral constraint. The symbolic expression is propagated to the second entity. The second entity is expressed in terms of the propagated symbolic expression. An updated graphical model is generated.
    Type: Grant
    Filed: December 12, 2007
    Date of Patent: April 15, 2014
    Assignee: The MathWorks, Inc.
    Inventor: Xiaocang Lin
  • Publication number: 20140097434
    Abstract: Device structures, design structures, and fabrication methods for a varactor. The device structure includes a first electrode formed on a dielectric layer, and a semiconductor body formed on the first electrode. The semiconductor body is comprised of a silicon-containing semiconductor material in an amorphous state or a polycrystalline state. The device structure further includes an electrode insulator formed on the semiconductor body and a second electrode formed on the electrode insulator.
    Type: Application
    Filed: October 4, 2012
    Publication date: April 10, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: John J. Ellis-Monaghan, Michael J. Hauser, Zhong-Xiang He, Xuefeng Liu, Richard A. Phelps, Robert M. Rassel, Anthony K. Stamper
  • Publication number: 20140101626
    Abstract: A computer implemented method comprises accessing a 3D-IC model stored in a tangible, non-transitory machine readable medium, processing the model in a computer processor to generate a temperature map containing temperatures at a plurality of points of the 3D-IC under the operating condition; identifying an electromigration (EM) rating factor, and calculating and outputting from the processor data representing a temperature-dependent EM current constraint at each point.
    Type: Application
    Filed: December 10, 2013
    Publication date: April 10, 2014
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chi-Yeh YU, Chung-Min FU, Ping-Heng YEH
  • Patent number: 8694931
    Abstract: In one embodiment of the invention, a method is disclosed including receiving a netlist of an integrated circuit design; executing a first copy of an integrated circuit design program with a first processor associated with a first memory space to independently perform work on a first portion of the integrated circuit design; and executing a second copy of the integrated circuit design program with a second processor associated with a second memory space to independently perform work on a second portion of the integrated circuit design; wherein the second memory space is independent of the first memory space.
    Type: Grant
    Filed: February 20, 2011
    Date of Patent: April 8, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventor: Denis Baylor
  • Publication number: 20140096093
    Abstract: A method includes testing to failure a plurality of semiconductor test structures, measuring a parameter of each semiconductor test structure after experiencing a failure, and generating a cumulative probability distribution function (CPDF) of cumulative probability versus the measured parameter after failure for the plurality of semiconductor test structures. The method further includes performing simulations for a circuit having an area using a model of a transistor that mimics the failure to determine a parameter threshold value that defines a minimum acceptable performance level of the circuit, determining a cumulative probability value from the CPDF that a transistor will not have the parameter at a level below the parameter threshold value, adjusting a value of the area of the circuit based on the cumulative probability value, and computing a first reliability value based on the adjusted area value.
    Type: Application
    Filed: September 28, 2012
    Publication date: April 3, 2014
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Robert Christopher BAUMANN, John Michael CARULLI, JR.
  • Patent number: 8689153
    Abstract: A method for importing a design in hardware description language (HDL) into a system level design tool includes setting a sampling time. The simulation model template is generated with the sampling time according to a selected simulation model type.
    Type: Grant
    Filed: March 7, 2012
    Date of Patent: April 1, 2014
    Assignee: Altera Corporation
    Inventor: Hong Shan Neoh
  • Publication number: 20140089872
    Abstract: Some aspects of the present disclosure provide for a system and method to discover which parts of a design a formal test suite can detect faults in, and thus how much of a design structure is covered by a property set. A mutatable RTL design is defined which allows for modification of a part of an RTL design from its intended behavior to a non-intended behavior, thus introducing unwanted effects. The mutatable RTL design can then be synthesized to produce a functional representation of the design. The property set can be re-run on the synthesized design to see whether the functional representation of the design is sensitive to the unwanted effect and thus whether formal verification can detect the modification.
    Type: Application
    Filed: September 25, 2012
    Publication date: March 27, 2014
    Applicant: Infineon Technologies AG
    Inventor: Darren Galpin
  • Patent number: 8683415
    Abstract: A disclosed method includes: accepting designation of a condition of grouping plural signal lines to be wired from a user; and switching and carrying out a grouping of the plural signal lines into plural groups based on the designated condition and a disposition pattern of start terminals and end terminals of the plural signal lines. The condition may be designated from a first requirement, a second requirement and a third requirement that includes the first requirement and the second requirement and in which a priority is set to the first requirement or the second requirement.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: March 25, 2014
    Assignee: Fujitsu Limited
    Inventors: Yoshitaka Nishio, Motoyuki Tanisho
  • Patent number: 8683397
    Abstract: Disclosed are a method and an apparatus of designing a semiconductor chip. The disclosed method includes the steps of: storing a plurality of EMS (Electro Magnetic Susceptibility) semiconductor IPs (Intellectual Property) and a plurality of EMI (Electro Magnetic Interference) semiconductor IPs; selecting a proper semiconductor IP from among the plurality of EMS shielding semiconductor IPs in a case of an input pin, and selecting a proper semiconductor IP from among the plurality of EMI shielding semiconductor IPs in a case of an output pin; and designing the semiconductor chip by disposing the selected semiconductor IP.
    Type: Grant
    Filed: November 12, 2012
    Date of Patent: March 25, 2014
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Soon Il Yeo, Young Ho Kim
  • Patent number: 8677306
    Abstract: A network-fabric used for testing with an external or internal tester is shown for a Structured ASIC. In one embodiment, the Structured ASIC uses a microprocessor, network-aware IO routing fabric comprising network agents in a scalable novel configuration, with the network-aware IO having a plurality of blocks connected in series in a plurality of paths in the fabric leading to and from the microprocessor and memory and/or logic, the blocks acting as intelligent network agents under processor control to determine what state they can assume, whether to pass a data signal or not along these paths, comprising open loops and closed loops running to and from the microprocessor and memory and/or logic, primarily for testing and determining the state of the memory and logic. In another embodiment a JTAG controller may receive JTAG test commands from an external testing apparatus and set up to communicate along the fabric.
    Type: Grant
    Filed: October 11, 2012
    Date of Patent: March 18, 2014
    Assignee: EASIC Corporation
    Inventors: Alexander Andreev, Andrey Nikitin, Marian Serbian, Massimo Verita
  • Patent number: 8677292
    Abstract: A method of designing an integrated circuit includes providing a standard cell database including a plurality of standard cells; providing an index file having cell-context information indexed to the plurality of standard cells; retrieving the cell-context information of one of the plurality of standard cells from the cell-context file; and applying the index information to a design of the integrated circuit.
    Type: Grant
    Filed: February 18, 2010
    Date of Patent: March 18, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Min Fu, Yen-Pin Chen, Yung-Fong Lu
  • Patent number: 8667434
    Abstract: A system, method and computer program product are provided for altering a hardware description based on an instruction file. In use, a hardware description is identified. Additionally, the hardware description is analyzed. Further, an instruction file is created based on the analysis. Moreover, the hardware is altered based on the instruction file.
    Type: Grant
    Filed: June 4, 2009
    Date of Patent: March 4, 2014
    Assignee: Calypto Design Systems, Inc.
    Inventors: Victor Kim, Niloy Das, Raghvendra K. Singh
  • Patent number: 8661377
    Abstract: Roughly described, while manually dragging shapes during IC layout editing, editing operations determine which edges of which shapes are moving at what speed ratios. Based on the edge information and the DRC rules, the system calculates and keeps track of the minimum of the maximum distance the edges are allowed to move with the cursor without violating DRC rules, in four linear directions and all corner directions. Once a next cursor destination point is known, a DRC clean destination point is calculated based on the linear and corner bounds. If the next cursor position is beyond a the push-through distance ahead of the new DRC clean point, the editing objects are moved to the user's destination point. Otherwise, the editing objects are moved to the new DRC clean destination point, thereby stopping movement at that point.
    Type: Grant
    Filed: May 16, 2013
    Date of Patent: February 25, 2014
    Assignee: Synopsys, Inc.
    Inventors: Jon Bendicksen, Randy Bishop, Zuo Dai, John Hapli, Dick Liu, Ming Su
  • Patent number: 8661399
    Abstract: Aspects of the invention provide for creating a built-in-self-test (BIST) organizational file for an integrated circuit (IC) chip. In one embodiment, a method includes: receiving a design file including a hierarchy of memory modules, each module including a plurality of memory wrappers; scanning each memory wrapper in each hierarchical level of memory modules for a BIST type; creating, based on the hierarchical level and the BIST type, an ordered list of memory wrappers; adding, based on the BIST type, a BIST engine for each memory wrapper listed in the ordered list; and adding a plurality of references statements to the ordered list to create the BIST organizational file.
    Type: Grant
    Filed: August 6, 2012
    Date of Patent: February 25, 2014
    Assignee: International Business Machines Corporation
    Inventors: Craig M. Monroe, Michael R. Ouellette, Douglas E. Sprague
  • Patent number: 8661383
    Abstract: A circuit verifier scans through a description of an integrated circuit to identify black-boxes in the description. The verifier assigns the identified black-boxes to clock domains and identifies clock domain crossings, in which a black-box assigned to a first clock domain is connected to an element belonging to a second clock domain. In some cases the verifier identifies signal reconvergence through black-boxes.
    Type: Grant
    Filed: July 25, 2012
    Date of Patent: February 25, 2014
    Assignee: VSYNC Circuits, Ltd.
    Inventors: Rostislav (Reuven) Dobkin, Leonid Brook
  • Patent number: 8661376
    Abstract: An editing system includes a database server apparatus having product specification management data stored therein; and a terminal apparatus including: a display part displaying a function tree and an IO table, the function tree hierarchically indicating elements along with attributes of each of the elements based on the product specification management data and having a product name as a root of the function tree, and the IO table indicating connection data between each pin of the elements based on the product specification management data, an accepting part accepting an operation to connect one element to another element, an operation to display connection data between the elements, and an operation to edit each item of the connection data of the IO table, and an updating part updating the connection data of the product specification management data in accordance with the operations accepted by the operation accepting part.
    Type: Grant
    Filed: February 4, 2013
    Date of Patent: February 25, 2014
    Assignee: Ricoh Company, Ltd.
    Inventor: Toshiya Yoshimura
  • Publication number: 20140053119
    Abstract: Technology for translating a behavioral description of a circuit into a structural description of the circuit is disclosed. The behavioral description may describe the circuit in terms of the behavior, or other functionality, of multiple circuit portions, with at least some of the multiple circuit portions having multiple components. The technology includes determining components across the multiple circuit portions of the behavioral description that have commonalities, and synthesizing the structural description with a description of a shared circuit portion instead of individual structural descriptions of the components having the determined commonality. The synthesized structural description may be organized according to a different hierarchical structure than that of the behavioral description.
    Type: Application
    Filed: August 16, 2013
    Publication date: February 20, 2014
    Applicant: C2 Design Automation dba Forte Design Systems
    Inventors: Michael Scott MEREDITH, Stephen B. Sutherland
  • Patent number: 8656335
    Abstract: A system and several methods for inferring higher level descriptions of circuit connectivity from register transfer level (RTL) netlists in order to provide more understandable and manageable design descriptions for complex System-on-Chip (SOC) designs, is provided. In particular, interface matching based on connectivity propagation is automatically performed whereby port names and properties on instances of functional elements and blocks are propagated to top level design ports as well as other instances of functional elements and blocks to create a more robust description of connectivity according to the RTL netlist, and to automatically form signal groupings that comprise a higher-level abstracted description. Also, a facility is included to allow user-guided grouping of instantiated interfaces with respect to actual signal names and properties in an RTL-level design.
    Type: Grant
    Filed: June 25, 2012
    Date of Patent: February 18, 2014
    Assignee: Atrenta, Inc.
    Inventors: Anshuman Nayak, Samantak Chakrabarti, Brijesh Agrawal, Nitin Bhardwaj
  • Patent number: 8656332
    Abstract: A method, computer program product, and data processing system for efficiently performing automated placement of timing-critical unit-level cells in a hierarchical integrated circuit design is disclosed. In preparation for global optimization the entire unit at the cell level, macro-level cells are assigned a “placement force” that serves to limit the movement of the macro-level cells from their current position. Movement boundaries for each macro element are also defined, so as to keep the components in a given macro element in relative proximity to each other. Optimization/placement of the unit design is then performed, via a force-directed layout algorithm, on a “flattened” model of the design while respecting the movement boundaries. Following this “flattened” optimization, the placed “unit-level” cells are modeled as blockages and the macro elements are optimized individually, while respecting the location(s) of the blockages.
    Type: Grant
    Filed: February 26, 2009
    Date of Patent: February 18, 2014
    Assignee: International Business Machines Corporation
    Inventors: Bruce M. Fleischer, David J. Geiger, Hung C. Ngo, Ruchir Puri, Haoxing Ren
  • Publication number: 20140047399
    Abstract: A system and methods are disclosed for inferring higher level descriptions of circuit connectivity from register transfer level (RTL) netlists in order to provide more understandable and manageable design descriptions for complex System-on-Chip (SOC) designs. In particular, rule-based interface matching is automatically performed by analyzing actual port names on instances of functional elements and blocks to form signal groupings that comprise a higher-level abstracted description. An example syntax is provided for defining rules that are used to define how various analysis are performed. Data describing standard interfaces on common Intellectual Property (IP) blocks is optionally made available to facilitate interface matching. Also, a facility is included to allow user-guided mapping on instantiated interfaces with respect to actual port names in an RTL-level design.
    Type: Application
    Filed: October 17, 2013
    Publication date: February 13, 2014
    Applicant: Atrenta, Inc.
    Inventors: Anshuman NAYAK, Samantak CHAKRABARTI, Brijesh AGRAWAL, Nitin BHARDWAJ
  • Patent number: 8650516
    Abstract: Methods and systems for generating and verifying circuit layouts from computer-aided design tools for vertically integrated, three-dimensional integrated circuits are disclosed. In one instance, a 3-D technology file of these teachings is obtained by providing an identifier for two or more circuit levels, providing for each one of the two or more circuit levels an identifier for a 2-D technology file corresponding to each of the one or more circuit levels and providing a file structure including the two or more circuit levels and each identifier, corresponding to each one of the one or more circuit levels, for the 2-D technology file corresponding to each one of the two or more circuit levels. Other embodiments are disclosed.
    Type: Grant
    Filed: August 14, 2012
    Date of Patent: February 11, 2014
    Inventor: Lisa G. McIlrath
  • Patent number: 8650522
    Abstract: Various methods for analyzing mutual inductance in an integrated circuit layout are disclosed. In one exemplary embodiment, for example, circuit design information indicative of a first inductor and a second inductor is received. A dipole moment associated with the first inductor is determined, where the magnetic field associated with the dipole moment is representative of magnetic fields created by respective turns in the first inductor. A mutual inductance between the first inductor and the second inductor is determined by determining a magnetic flux of the magnetic field of the dipole moment through surfaces bounded by respective wire segments of the second inductor.
    Type: Grant
    Filed: April 16, 2012
    Date of Patent: February 11, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Roberto Suaya, Rafael Escovar, Salvador Ortiz
  • Patent number: 8650515
    Abstract: Systems and methods for validating a circuit design are described. The circuit validation includes determining a subset of checks to apply to a portion of the overall circuit based on the pin type composition of the circuit portion.
    Type: Grant
    Filed: August 17, 2012
    Date of Patent: February 11, 2014
    Assignee: Valydate, Inc.
    Inventors: Michael Alam, Peter Campbell, Mark Cianfaglione
  • Publication number: 20140035645
    Abstract: A system and method to perform scan testing using a pulse latch with a blocking gate is disclosed. In a particular embodiment, a scan latch includes a pulse latch operable to receive data while a pulse clock signal has a first logical clock value and a blocking gate coupled to an output of the pulse latch. The blocking gate is operable to propagate the data from the output of the pulse latch while the pulse clock signal has a second logical clock value.
    Type: Application
    Filed: August 1, 2012
    Publication date: February 6, 2014
    Applicant: QUALCOMM Incorporated
    Inventors: Venkatasubramanian Narayanan, Kashyap R. Bellur
  • Patent number: 8645897
    Abstract: An integrated circuit (IC) design verification system includes a memory for storing an IC design and a processor in communication with the memory. The IC design includes multiple IP cores and the design verification apparatus includes multiple verification modules. The processor configures a first set of connections between the IP cores and the verification modules based on a first connection database and verifies each IP core independently using the first set of connections. Thereafter, the processor configures a second set of connections between the IP cores and the verification modules based on a second connection database generated based on the first connection database, and verifies the multiple IP cores together using the second set of connections.
    Type: Grant
    Filed: January 7, 2013
    Date of Patent: February 4, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Nandini, Gaurav Gupta, Rohit Srivastava
  • Publication number: 20140033147
    Abstract: Computer-implemented techniques are disclosed for verifying functional independence of logic designs that make use of redundant representations. Initially, the design of a logic component is obtained. Two representations of the component are computed, one in redundant form and another in non-redundant form. A randomness factor based on a time-varying value is injected into the second representation. The value from the second form is then constrained to the context of the logic component within a digital system. It is then possible to analyze the component using the first deterministic representation and the constrained second representation. This analysis allows verification of the component with downstream logic.
    Type: Application
    Filed: July 28, 2012
    Publication date: January 30, 2014
    Inventors: Yun Shao, Alexandre Ferreira Tenca