With Partitioning Patents (Class 716/105)
  • Patent number: 8495552
    Abstract: Latches and local-clock-buffers are automatically placed during integrated circuit physical synthesis. Prior to physically laying out the datapath, locations are assigned for the latches based on a logical representation of the datapath and on the fixed placements of pins. The computed latch locations optimize the datapath according to some predetermined criteria. Local-clock-buffers are also preplaced together with the latches further improving datapath performance.
    Type: Grant
    Filed: June 28, 2012
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Minsik Cho, Ruchir Puri, Haoxing Ren, Hua Xiang, Matthew M. Ziegler
  • Patent number: 8495555
    Abstract: A computer aided design system comprises an interface creating module, a first calculating module, a dividing module and a second calculating module. The interface module creates a parameter setting interface to display the proposed design on the screen of the device formed with nets and cline segments and select at least one net in response to the user's operation. The first calculating module calculates the length of the cline segments of the potential net in order based on the coordinates of the cline segments and generates a dividing signal. The dividing module divides the cline segments into a first team and a second team based on the compared result with a predetermined width according to the dividing signal. The second calculating module adds the calculated cline segments length in the first team and in the second team to obtain a first length and a second length.
    Type: Grant
    Filed: May 15, 2012
    Date of Patent: July 23, 2013
    Assignees: Hong Fu Jin Precision Industry (ShenZhen) Co., Ltd., Hon Hai Precision Industry Co., Ltd.
    Inventor: Zheng-Yu He
  • Patent number: 8484589
    Abstract: During a pop phase of hierarchical repartitioning of an IC design, all cells within a current hierarchy may be identified, the list of cells may be ungrouped to dissolve the current hierarchy, one or more specified cells may be removed from the list of cells, where the specified one or more cells are to be moved to a different hierarchy, and the new list of cells without the specified one or more cells may be re-grouped, to re-form the previously dissolved hierarchy. During a push phase of the hierarchical repartitioning, all cells within the next lower-level hierarchy may be identified, the identified list of cells may be ungrouped to dissolve that hierarchy, the specified one or more cells may be added to the identified list of cells, and the new list of cells that includes the specified one or more cells may be grouped to reform the previously dissolved hierarchy.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: July 9, 2013
    Assignee: Apple Inc.
    Inventors: Robert D. Kenney, Hani Hasan Mustafa Saleh, Sreevathsa Ramachandra
  • Patent number: 8473881
    Abstract: A method of partitioning a circuit design can include identifying a circuit design in which components of the circuit design are assigned to each of a plurality of regions, wherein each region corresponds to a physical portion of an integrated circuit. A maximum oversubscription region can be determined for a selected component type from the plurality of regions. A target region from the plurality of regions can be selected that is adjacent to the region of maximum oversubscription. The method also can include re-assigning, by a processor, a selected number of components of the maximum oversubscription region to the target region.
    Type: Grant
    Filed: January 17, 2011
    Date of Patent: June 25, 2013
    Assignee: Xilinx, Inc.
    Inventors: Wei Mark Fang, Vishal Suthar, Srinivasan Dasasathyan
  • Patent number: 8468476
    Abstract: A method for designing a system to be implemented on a target device includes generating a register transfer language (RTL) representation of the system from a description of the system without pipelined delays. The RTL representation of the system includes pipelined delays to facilitate timing of the system as implemented on a target device identified by a designer.
    Type: Grant
    Filed: March 21, 2011
    Date of Patent: June 18, 2013
    Assignee: Altera Corporation
    Inventor: Steven Perry
  • Patent number: 8468489
    Abstract: A computer aided design system comprises a dividing module, a storage, an interface creating module, a selecting module, and a display module. The dividing module divides the names into groups according to a predetermined rule. The group comprises a plurality of the targets set on the different layers. The storage records the relationship between the groups and the targets. The interface creating module creates a user interface base on the groups and selects at least one group in the same user interface from the operation of the user. The selecting module selects targets according to the selected groups. The display module displays the selected targets.
    Type: Grant
    Filed: June 19, 2011
    Date of Patent: June 18, 2013
    Assignees: Hong Fu Jin Precision Industry (ShenZhen Co., Ltd., Hon Hai Precision Industry Co., Ltd.
    Inventor: Miao-Ling Zhang
  • Patent number: 8463587
    Abstract: A method of simulating an integrated circuit design is provided. In this method, a node order ranking of nodes in a netlist can be determined. Circuits of the netlist can then be partitioned based on the node order ranking with both static current driving and dynamic current driving schemes. A hierarchical data structure can be built based on the node order partitioning. In one embodiment, intermediate node orders can be dynamically merged for simulation optimization. Then, the circuits can be re-partitioned based on one or more merged intermediate node orders. Solving and integration can be performed using the hierarchical data structure to generate an order-ranked hierarchy engine. Analysis on the order-ranked hierarchy engine can be performed. At this point, simulation data of the IC design can be exported based on the analysis. By using this method, linear network reduction with its attendant accuracy loss is unnecessary.
    Type: Grant
    Filed: July 28, 2009
    Date of Patent: June 11, 2013
    Assignee: Synopsys, Inc.
    Inventors: Ningjia Zhu, James Bair, Zhishi Peng
  • Patent number: 8458639
    Abstract: Methods and apparatuses for designing at least one integrated circuit (IC). In one embodiment, the method comprises partitioning a circuit into portions that represent a partitioning solution and assigning traces to interconnect the portions to generate a trace assignment solution. The method further comprises optimizing the circuit through a modification of at least one of the partitioning solution and the trace assignment solution, the optimizing based on evaluating a design parameter which is based at least in part on the trace assignment solution.
    Type: Grant
    Filed: May 7, 2012
    Date of Patent: June 4, 2013
    Assignee: Synopsys, Inc.
    Inventors: Awartika Pandey, Drazen Borkovic, Kenneth S. McElvain
  • Publication number: 20130135008
    Abstract: A reconfigurable computer architecture is disclosed. The reconfigurable computer architecture has a plurality of logic elements, a plurality of connection switching elements, and a plurality of volatile and/or non-volatile configuration random access memories (RAMs). Each of the configuration RAMs is electrically coupled to at least one of the plurality of logic elements or at least one of the connection switching elements.
    Type: Application
    Filed: December 1, 2010
    Publication date: May 30, 2013
    Applicants: TRUSTEES OF PRINCETON UNIVERSITY, QUEEN'S UNIVERSITY AT KINGSTON
    Inventors: Wei Zhang, Niraj K. Jha, Li Shang
  • Patent number: 8453136
    Abstract: A method and an apparatus are described for allowing several different applications to incrementally collaborate while making changes to a circuit design.
    Type: Grant
    Filed: March 6, 2007
    Date of Patent: May 28, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Mark Steven Hahn, Arnold Ginetti
  • Patent number: 8448106
    Abstract: Large-scale finite state machines. An implementation is a method of splitting FSMs in which a dataflow graph is accessed that represents an expression. A cost analysis of computing sub-expressions of the expression corresponding to subsets of the dataflow graph is performed. Based on the cost analysis, the dataflow graph is split into separate dataflow graphs. A finite state machine is determined for each of the dataflow graphs. In another implementation, expressions are partitioned into groups that are implemented with respective FSMs without exploding the number of states in the FSMs. Another implementation is a computer-implemented method of relaxing a constraint when determining FSMs.
    Type: Grant
    Filed: July 20, 2010
    Date of Patent: May 21, 2013
    Assignee: Synopsys, Inc.
    Inventor: Niels Vanspauwen
  • Patent number: 8438511
    Abstract: Methods, systems, and devices for logic synthesis that preserve a reset behavior of a circuit are provided. A method for logic synthesis may include providing the circuit. A memory element may be identified at a first location within the circuit, where the memory element is reset with a first reset value. The memory element may be relocated across a first portion of the circuit resulting in a one relocated memory element. The relocated memory element may be duplicated. The relocated memory element and the duplicated memory element may be connected with the circuit. Multiple reset values for the relocated memory element and the duplicated memory element may be determined, where the first reset value is produced at the first location when the multiple reset values are propagated through the circuit from the relocated memory element and the duplicated memory element to the first location.
    Type: Grant
    Filed: December 30, 2009
    Date of Patent: May 7, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventor: Aaron Hurst
  • Patent number: 8438512
    Abstract: Disclosed is an improved method and system for implementing parallelism for execution of electronic design automation (EDA) tools, such as layout processing tools. Examples of EDA layout processing tools are placement and routing tools. Efficient locking mechanism are described for facilitating parallel processing and to minimize blocking.
    Type: Grant
    Filed: August 30, 2011
    Date of Patent: May 7, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: David Cross, Eric Nequist
  • Patent number: 8429580
    Abstract: A method for preparing an IC design that has been modified to be formally verified with a reference IC design. Because some formal verification tools cannot handle the complexity often associated with sequential equivalence checking at the top level of a circuit, the modified IC design may be instantiated into a number of different design versions, each having different levels of modification complexity. In addition, the reference IC design and the modified versions may be decomposed into a datapath and control path. The reference IC design and each of the modified IC design versions may also use wrappers to encapsulate various levels of hierarchy of the logic. Lastly, rather than having to verify each of the modified versions back to the reference IC design, the equivalence checking may be performed between each modified IC design version and a next modified IC design version having a greater modification computational complexity.
    Type: Grant
    Filed: August 19, 2011
    Date of Patent: April 23, 2013
    Assignee: Apple Inc.
    Inventors: Raymond C. Yeung, Irfan Waheed, Mark H. Nodine
  • Patent number: 8418097
    Abstract: Systems and methods are provided for a scheme and mechanism for performing static analysis of a sample time aware state diagram model to compute and propagate multiple samples rates associated with the state diagram model. A graphical intermediate representation of the state diagram model, such as a directed graph or control flow graph, is used to determine how the multiple sample rates are propagated via elements of the state diagram model. The graph provides a static representation of the control of flow, including alternative and/or conditional flow paths, of the state diagram model. The present invention determines the propagation of sample rates via analysis and traversal of the intermediate representation. By using the techniques of the present invention, a state diagram model may provide multiple sample rate outputs, such as by function calls and output signals to a graphical model, such as a model representing a dynamic system.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: April 9, 2013
    Assignee: The MathWorks, Inc.
    Inventors: Vijay Raghavan, Ebrahim Mehran Mestchian
  • Patent number: 8418115
    Abstract: A method of component placement for a multi-die integrated circuit (IC) can include partitioning a plurality of components of a netlist among a plurality of dies of the multi-die IC and selecting a superimposition model specifying a positioning of at least two of the plurality of dies at least partially superimposed with respect to one another. The method also can include assigning, by a processor, components of the netlist to hardware units within each of the plurality of dies according, at least in part, to a wire-length metric calculated using the superimposition model.
    Type: Grant
    Filed: May 11, 2010
    Date of Patent: April 9, 2013
    Assignee: Xilinx, Inc.
    Inventors: Marvin Tom, Rajat Aggarwal, Srinivasan Dasasathyan
  • Patent number: 8418094
    Abstract: Disclosed are a method, a system, and a computer program product for implementing compact manufacturing model during various stages of electronic circuit designs. In some embodiments, the method loads the full design database information on the master; distributes the tasks to be processed in parallel; loads a full design on a master; spawns a plurality of slave sessions; sends to at least one slave a nutshell representation of the electronic circuit design; identifies a task to perform in parallel and sends the task to be performed in parallel; and receives execution results or processing results from some of the plurality of slaves and updates one or more databases to incorporate the execution or processing results. In some embodiments, the method allows speeding up the applications without major rewrite without a need for design partition, and without memory penalty.
    Type: Grant
    Filed: December 13, 2011
    Date of Patent: April 9, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Arnaud Pedenon, Philippe Lenoble, Claire Nauts
  • Patent number: 8413087
    Abstract: Disclosed is an improved approach for managing, tracking, and querying hierarchical data in layouts. According to some aspects, hierarchical grids are employed utilizing a scheme that organizes physical objects into a set of gradually refined grids that avoids the need to maintain duplicates while enhancing the desirable characteristics of existing schemes, including fast query times, fast data structure initialization and reduced memory footprint. Each grid-cell may be further partitioned into sub-containers to more efficiently provide space pruning during query operations. According to one approach, structures maintained to track existence of objects in a descendent hierarchy.
    Type: Grant
    Filed: March 26, 2010
    Date of Patent: April 2, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Guruprasad G. Rao, Mark Hahn, Laurent Volpe
  • Patent number: 8407635
    Abstract: A method of producing a hierarchical power information structure for a circuit design, the method comprising traversing a circuit design hierarchy from a top design level to a bottom design level to identify any intermediate design levels, associating identified power nets with ground nets to produce one or more power domains, producing one or more power domains using the identified power nets and ground nets, identifying an instance of one or more special cells that are associated with a power related property and creating constructs for the special cells in the hierarchical power information structure, generating power rules for the intermediate level design using the special cell constructs, mapping higher design level power domains to lower design level power domains within the intermediate design level, and storing the power domains and power rules as power intent within an information structure associated with a schematic for the intermediate level design.
    Type: Grant
    Filed: January 31, 2011
    Date of Patent: March 26, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventor: Amit Chopra
  • Patent number: 8400679
    Abstract: A system and method for routing and processing jobs in a production environment considers the setup characteristics of each print job. Each set of jobs may be classified as a first job processing speed set, a second job processing speed set, or another job processing speed set based on the corresponding setup characteristics. First job processing speed sets are routed to a first group of job processing resources, while second job processing speed sets are routed to a second group of job processing speed resources. Each resource group may include an autonomous cell.
    Type: Grant
    Filed: September 19, 2011
    Date of Patent: March 19, 2013
    Assignee: Xerox Corporation
    Inventor: Sudhendu Rai
  • Patent number: 8381150
    Abstract: A method for efficient multithreaded analysis of a timing graph is described. The method is applicable to multithreaded common path pessimism removal, critical path traversing for timing report generation, and other types of analysis requiring traversal of sub-graphs of timing graph. In order to achieve high efficiency and scalability for parallel multithreaded execution, the number of access locks is minimized. One parent computation thread and multiple child threads are employed. The parent computational thread identifies the tasks for analysis and distributes them among child threads. Each child thread identifies a sub-graph to be analyzed, creates a thread-specific replica of the identified sub-graph, and performs the analysis required. After completing the analysis, the child thread transfers the results back to the main timing graph and waits for next task.
    Type: Grant
    Filed: June 2, 2011
    Date of Patent: February 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: Vladimir Zolotov, David J. Hathaway, Kerim Kalafala, Mark A. Lavin, Peihua Qi
  • Patent number: 8381143
    Abstract: A design structure for a Duty Cycle Correction (DCC) circuit is provide in which pairs of field effect transistors (FETs) in known DCC circuit topologies are replaced with linear resistors coupled to switches of the DCC circuit such that when the switch is open, the input signal is routed through the linear resistors. The linear resistors are more tolerant of process, voltage and temperature (PVT) fluctuations than FETs and thus, the resulting DCC circuit provides a relatively smaller change in DCC correction range with PVT fluctuations than the known DCC circuit topology that employs FETs. The linear resistors may be provided in parallel with the switches and in series with a pair of FETs having relatively large resistance values. The linear resistors provide resistance that pulls-up or pulls-down the pulse width of the input signal so as to provide correction to the duty cycle of the input signal.
    Type: Grant
    Filed: January 27, 2011
    Date of Patent: February 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: David W. Boerstler, Eskinder Hailu, Jieming Qi
  • Patent number: 8370776
    Abstract: A method for designing a system on a target device includes compiling an intellectual property (IP) core to be implemented on the target device such that it satisfies user specified requirements for the system. User logic is compiled after the IP core has been compiled to satisfy user specified requirements for the system.
    Type: Grant
    Filed: June 13, 2008
    Date of Patent: February 5, 2013
    Assignee: Altera Corporation
    Inventors: Kevin Chan, Terry Borer
  • Patent number: 8365113
    Abstract: In one embodiment of the invention, a method includes partitioning an integrated circuit design into a hierarchy of a top level and a plurality of partitions, wherein the top level includes a top level netlist and each partition includes a partition netlist; receiving data path timing budgets and clock path timing budgets for each of the plurality of partitions of the integrated circuit design; and generating a timing budget model of each partition in response to the respective data path timing budgets and clock path timing budgets, wherein each timing budget model includes an intra-partition clock timing constraint for each respective partition for independent implementation of the top level.
    Type: Grant
    Filed: February 18, 2010
    Date of Patent: January 29, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Vivek Bhardwaj, Oleg Levitsky, Dinesh Gupta
  • Patent number: 8352893
    Abstract: Aspects of the invention relate to circuit topology recognition and circuit partitioning. In various embodiments of the invention, diode-connected transistors can be identified in a circuit netlist based on the unique structure. From the diode-connected transistors, current mirrors can be found. The current mirrors may be employed for locating differential pairs used in the input stage of operational amplifiers and for locating supply voltage and ground nodes in the netlist. The subcircuits that are strongly connected due to feedback loops of operational amplifiers in the circuit can then be identified and grouped together for circuit analysis and simulation.
    Type: Grant
    Filed: September 16, 2011
    Date of Patent: January 8, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Pole Shang Lin, Tamer Raed Fahim Riad, Kuei Shan Wen
  • Patent number: 8347242
    Abstract: A method, computer program product, and apparatus for processing a wiring diagram is provided. Information associated with a number of components in the wiring diagram is identified. A scaling factor between a first format for the wiring diagram and a second format used by a software application configured to use wiring diagrams in the second format is identified. The scaling factor is applied to the information identified as being associated with the number of components in the wiring diagram to form processed information.
    Type: Grant
    Filed: January 5, 2010
    Date of Patent: January 1, 2013
    Assignee: The Boeing Company
    Inventors: Molly Louise Boose, David Brayton Shema, Lawrence Sterne Baum, Joseph Charles Hrin
  • Patent number: 8341572
    Abstract: A system and method are disclosed for waveform based variational static timing analysis. A circuit is divided into its linear circuit parts and non-linear circuit parts and modeled together, by a combination of linear modeling techniques, into linear equations that may be represented by matrices. The linear equations in matrix form may be readily solved by a computer such that an input waveform to an input pin of the circuit can be sequentially “pushed” through the various interconnects and logic networks of the circuit to an output pin. Output voltage waveforms are obtained at each stage of the waveform pushing and may be used to perform static timing analysis.
    Type: Grant
    Filed: December 9, 2008
    Date of Patent: December 25, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Saurabh K. Tiwary, Joel R. Phillips, Igor Keller
  • Patent number: 8341577
    Abstract: Embodiments of the invention provide systems and methods for parallelizing simulation of circuit partitions. A circuit is divided into a number of partitions, for example, according to channel-connected regions. In some embodiments, the partitions are sequenced and assigned to multiple threads for parallel analysis. Iterative timing analysis (ITA), or some other form of analysis, is performed on the partitions over a series of integration time steps. Using the multiple threads, some partitions are solved at later integration time steps while the ITA continues toward relaxation convergence for a current integration time step.
    Type: Grant
    Filed: July 27, 2011
    Date of Patent: December 25, 2012
    Assignee: Oracle International Corporation
    Inventors: Alexander Korobkov, Subramanian Venkateswaran, Wai Chung W. Au
  • Patent number: 8341566
    Abstract: A method for generating and evaluating a table model for circuit simulation in N dimensions employing mathematical expressions for modeling a device. The table model uses an unstructured N-dimensional grid for approximating the expressions.
    Type: Grant
    Filed: February 22, 2012
    Date of Patent: December 25, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Gang Peter Fang
  • Patent number: 8316330
    Abstract: A method and system for designing an electric or electronic circuit. A method may include providing a description of a device; including at least one feature of the device in the description; adding an information pertaining to the at least one feature; configuring the description to detect a relationship between the information and the at least one feature; and generating a message conveying the relationship. The method may include generating one or more derived files. The one or more derived files may be generated or processed by a word processor. Systems based on the foregoing method are also described.
    Type: Grant
    Filed: December 9, 2009
    Date of Patent: November 20, 2012
    Inventor: Anupam Bakshi
  • Patent number: 8312399
    Abstract: Over the years, parallel processing has become increasingly common. Conventional circuit simulators have not taken full advantage of these developments, however. Here, a circuit simulator and system are provided that partitions circuit matrices to allow for more efficient parallel processing to take place. By doing this, the overall speed and reliability of the circuit simulator can be increased.
    Type: Grant
    Filed: October 22, 2009
    Date of Patent: November 13, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Gang Peter Fang, Ning Dong, Zhongze Li
  • Patent number: 8307312
    Abstract: A simulation method of a logic circuit is provided. The simulation method includes operations dividing the logic circuit into a plurality of divided circuits, determining the divided circuit constructing a path circuit of the logic circuit, determining an auxiliary divided circuit that is the divided circuit not constructing the path circuit and affects on a simulation result of the path circuit. The method also includes executing a simulation calculation of a part of the circuit including the divide circuit constructing the path circuit and the auxiliary divided circuit.
    Type: Grant
    Filed: August 12, 2008
    Date of Patent: November 6, 2012
    Assignee: Fujitsu Limited
    Inventor: Miki Terabe
  • Patent number: 8302042
    Abstract: A method of designing a circuit is described. In an embodiment, a physical design implementation for the circuit is created using a plurality of entities. These entities are named “genomes”. Each entity includes a portion of a functional description of the circuit that has been synthesized into a gate-level implementation. An entity is selected to facilitate the physical design implementation meeting a plurality of design constraints. Several steps (e.g., beginning with selection of an entity) of this method are repeated several times to meet the design constraints. As a consequence, the physical design implementation provides more accurate information for use in a final physical design implementation. Moreover, the physical design implementation can be created faster than prior techniques while still allowing a global view of the physical design implementation in meeting design constraints.
    Type: Grant
    Filed: January 10, 2007
    Date of Patent: October 30, 2012
    Assignee: Oasys Design Systems
    Inventors: Hermanus Arts, Paul van Besouw, Johnson Limqueco
  • Patent number: 8296690
    Abstract: A design hierarchy based on an implementation set abstraction of a user design for an integrated circuit design includes a plurality of nodes and a definition for each of the nodes in the plurality of nodes that describes the type of elements contained in each node and the hierarchy defined by each of the nodes. Each node can include at least one implementation element of the design and the at least one implementation element can be selected among the group including a set of logical elements, a set of placed elements, and a set of placed and routed elements.
    Type: Grant
    Filed: February 7, 2008
    Date of Patent: October 23, 2012
    Assignee: Xilinx, Inc.
    Inventors: Raymond Kong, Daniel J. Downs, John J. Laurence, Richard Yachyang Sun, Sankaranarayanan Srinivasen
  • Patent number: 8285535
    Abstract: Processor/memory co-exploration at multiple abstraction levels. An architecture description language (ADL) description of a processor/memory system is accessed. The ADL description models on one of a plurality of abstraction levels. The abstraction levels may include a functional (or bit-accurate) level and a cycle-accurate level. Further, a communication protocol for the processor/memory system is accessed. The communication protocol is formed from primitives, wherein a memory interface formed from the primitives is useable in simulation at the abstraction levels. A processor/memory simulation model is automatically generated from the description and description of the communication protocol. The processor/memory simulation model comprises a processor/memory interface comprising the primitives and based on the communication protocol. The memory interface allows simulation of the processor/memory on the appropriate abstraction level for the simulation.
    Type: Grant
    Filed: August 30, 2010
    Date of Patent: October 9, 2012
    Assignee: Synopsys, Inc.
    Inventors: Gunnar Braun, Olaf Zorres, Achim Nohl, Andreas Hoffmann
  • Patent number: 8271918
    Abstract: Methods and apparatus for performing automated formal clock domain crossing verification on a device are detailed. In various implementations of the invention, a device may be analyzed, wherein the clock domain crossing boundaries are identified. Subsequently, a formal clock domain crossing verification method may be applied to the identified clock domain crossing boundaries, resulting in clock domain crossing assertions being identified. After which the identified assertions may be promoted for post clock domain crossing analysis. With various implementations of the invention, a formal clock domain crossing method is provided, wherein the device components near an identified clock domain crossing are extracted. Assertions may then be synthesized and verified based upon the extracted components. Various implementations of the invention provide for clock domain crossing verification to be performed iteratively, wherein a larger and larger selection of the device is extracted during formal verification.
    Type: Grant
    Filed: September 14, 2009
    Date of Patent: September 18, 2012
    Assignee: Mentor Graphics Corporation
    Inventors: Ka-Kei Kwok, Bing Li, Tai An Ly, Rojer Raji Sabbagh
  • Publication number: 20120233577
    Abstract: In one embodiment, a design methodology is described in which a functional description of each macro may be synthesized along with the other logic in a block. The resulting circuitry, including synthesized circuitry corresponding to each macro, may be placed within an area designated for the integrated circuit. The result may be analyzed, determining a location for the macro based on the location of the corresponding synthesized circuitry. For example, the geometric center of the synthesized circuitry may be located, and the geometric center of the custom circuitry associated with the macro may be placed at the same point as the geometric center of the synthesized circuitry. Because the macros are not placed in advance, the location of the macro may be controlled by other factors such as timing, space, wiring congestion, etc.
    Type: Application
    Filed: March 8, 2011
    Publication date: September 13, 2012
    Inventors: Amit Chandra, Muthukumaravelu Velayoudame
  • Patent number: 8266560
    Abstract: Methods and systems for generating and verifying circuit layouts from computer-aided design tools for vertically integrated, three-dimensional integrated circuits are disclosed. In one instance, a 3-D technology file of these teachings is obtained by providing an identifier for two or more circuit levels, providing for each one of the two or more circuit levels an identifier for a 2-D technology file corresponding to each of the one or more circuit levels and providing a file structure including the two or more circuit levels and each identifier, corresponding to each one of the one or more circuit levels, for the 2-D technology file corresponding to each one of the two or more circuit levels. Other embodiments are disclosed.
    Type: Grant
    Filed: August 26, 2011
    Date of Patent: September 11, 2012
    Assignee: R3 Logic, Inc.
    Inventor: Lisa G. McIlrath
  • Patent number: 8261217
    Abstract: A pattern forming method including modifying design data subjected to a first design rule check in design data of a pattern to be formed in a semiconductor substrate, performing the first design rule check to the modified design data again, outputting the modified design data which does not violate the first design rule as pattern forming design data used in actual pattern formation, and performing a second design rule check having an allowable range wider than that of the first design rule to the modified design data which violates the first design rule, and outputting the modified design data which does not violate the second design rule as the pattern forming design data, and redesigning the pattern to satisfy the second design rule or adjusting the modification guideline such that the modified design data which violates the second design rule satisfies the second design rule.
    Type: Grant
    Filed: January 25, 2008
    Date of Patent: September 4, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Sachiko Kobayashi
  • Patent number: 8261220
    Abstract: Partitioning of a design allows static timing analysis (STA), signal integrity, and noise analysis to be performed in parallel on multiple, less demanding, and more available hardware resources. Therefore, runtime and throughput of the analysis can be significantly shortened. Notably, the partitioning can include redundancy. That is, partitions are allowed to share objects in order to preserve the timing path completeness and design structural integrity. Due to this redundancy, these partitions can account for many constraints specifically imposed by STA and ensure minimal inter-partition data dependency during the analysis. Once these partitions are populated, analysis can be performed on those partitions in parallel to generate the same timing results as if the design had been analyzed flat as a single unit. Therefore, the performance of the analysis can be optimized without compromising the accuracy and quality of results.
    Type: Grant
    Filed: November 30, 2009
    Date of Patent: September 4, 2012
    Assignee: Synopsys, Inc.
    Inventors: Qiuyang Wu, Brian Clerkin
  • Patent number: 8255847
    Abstract: A method for designing a system on a target device is disclosed. The system is synthesized. The system is partitioned into a plurality of logical sections utilizing information derived from synthesizing the system and prior to performing placement of the system on the target device. Other embodiments are described and claimed.
    Type: Grant
    Filed: October 1, 2009
    Date of Patent: August 28, 2012
    Assignee: Altera Corporation
    Inventors: Scott James Brissenden, Paul McHardy
  • Patent number: 8255841
    Abstract: Optical proximity correction techniques performed on one or more graphics processors improve the masks used for the printing of microelectronic circuit designs. Execution of OPC techniques on hardware or software platforms utilizing graphics processing units. GPUs may share the computation load with the system CPUs to efficiently and effectively execute the OPC method steps.
    Type: Grant
    Filed: June 9, 2009
    Date of Patent: August 28, 2012
    Assignee: Gauda, Inc.
    Inventors: Ilhami H. Torunoglu, Ahmet Karakas
  • Patent number: 8255842
    Abstract: A thin-film transistor circuit includes a crystallized semiconductor thin film two-dimensionally partitioned into crystal-grain-defining areas each of which accommodates a crystal grain larger than a predetermined size, thin-film transistors each of which has a channel region placed at the center position of a corresponding one of the crystal-grain-defining areas, and wirings which interconnect the thin-film transistors.
    Type: Grant
    Filed: October 7, 2008
    Date of Patent: August 28, 2012
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Genshiro Kawachi
  • Patent number: 8239794
    Abstract: Disclosed are embodiments of a system and of an associated method for estimating the leakage current of an electronic circuit. The embodiments analyze a layout of an electronic circuit in order to identify all driven and non-driven nets within the electronic circuit, to identify all of the driven net-bounded partitions within the electronic circuit (based on the driven and non-driven nets), and to identify, for each driven net-bounded partition, all possible states of the electronic circuit that can leak. Then, using this information, the embodiments estimate the leakage current of the electronic circuit. This is accomplished by first determining, for each state of each driven net-bounded partition, a leakage current of the driven net-bounded partition and a probability that the state will occur in the driven net-bounded partition during operation of the electronic circuit.
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: August 7, 2012
    Assignee: International Business Machines Corporation
    Inventors: Bhavna Agrawal, David J. Hathaway, Pravin P. Kamdar, Karl K. Moody, III, Peng Peng, David W. Winston
  • Patent number: 8237586
    Abstract: A method and a system for recognizing the statuses of electric appliances are provided. In the present method, a loop is taking as a unit for recognition, and a power consumption factor sensor which is capable of measuring a single power consumption factor is used such that the current status of each electric appliance in the loop can be recognized by comparing the probability distribution of the power consumption factor. Since it is not needed to dispose an extra measuring device on each electric appliance, the cost of recognizing the statuses of the electric appliances is significantly reduced.
    Type: Grant
    Filed: December 4, 2009
    Date of Patent: August 7, 2012
    Assignee: Institute for Information Industry
    Inventors: Chi-Cheng Chuang, Ray-I Chang, Hung-Ren Lai
  • Publication number: 20120192132
    Abstract: A hardware description language representation of an original circuit block containing one or more hierarchies may be obtained. Some, or all of the hierarchies may be dissolved to access each circuit component within the original circuit block at a same level of hierarchy. Designated circuit components may then be grouped together to create new circuit blocks at a new level of hierarchy. Components and signals within each new circuit block may be renamed to match logically corresponding components and signals within each other new circuit block. Missing pins may be added for each new circuit block, and connected to respective associated signals within the new circuit block, and logically equivalent pins may be given the same name to ensure the new circuit blocks are logically equivalent to each other and have identical interfaces.
    Type: Application
    Filed: August 2, 2011
    Publication date: July 26, 2012
    Inventors: Robert D. Kenney, Raymond C. Yeung, Paul K. Miller, Donald W. Glowka, Jeffrey B. Read
  • Patent number: 8230377
    Abstract: A computer-implemented method of globally placing a circuit design on a programmable integrated circuit (IC) includes dividing, by a placement system, the programmable IC into a grid comprising a plurality of cells, assigning each component of a selected component type of the circuit design to one of a plurality of control set groups according to a control set of the component, and calculating a force including a control set force that depends upon overlap of control sets within the plurality of cells. The method further can include applying the force to at least one selected component of the circuit design and assigning components of the circuit design to locations on the programmable IC by solving a set of linear equations that depend upon application of the force to the at least one selected component to create a global placement. The circuit design including the global placement can be output.
    Type: Grant
    Filed: April 24, 2009
    Date of Patent: July 24, 2012
    Assignee: Xilinx, Inc.
    Inventors: Wei Mark Fang, Srinivasan Dasasathyan
  • Patent number: 8230374
    Abstract: A method of partitioning an algorithm between hardware and software includes accepting a user defined algorithm specified in a source code, identifying worker methods and feature extraction methods within the user defined algorithm, replacing worker methods in the source code with hardware logic, replacing feature extraction methods with a combination of hardware logic and software libraries that interface with the hardware logic, and outputting an FPGA programming specification of the hardware logic and interface libraries.
    Type: Grant
    Filed: December 14, 2007
    Date of Patent: July 24, 2012
    Assignee: Pixel Velocity, Inc.
    Inventor: David L. McCubbrey
  • Patent number: 8225267
    Abstract: A structure analysis apparatus (1) for analyzing structure of a complex material layer containing a plurality of members (2a, 2b) for modeling layout data on a complex material layer, includes: an area setting portion (21) for setting an area to be modeled in the complex material layer; an area dividing portion (22) for dividing the area into a plurality of elements; an area computing portion (23) for calculating, based on an occupancy of each of the plurality of members (2a, 2b) in the area, the number of elements corresponding respectively to the plurality of members (2a, 2b); and an element placing portion (24) for generating a model of the complex material layer by placing the plurality of members (2a, 2b) respectively in the plurality of elements based on the number of the elements corresponding respectively to the plurality of members (2a, 2b).
    Type: Grant
    Filed: March 8, 2010
    Date of Patent: July 17, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Tomohisa Sekiguchi
  • Patent number: 8205182
    Abstract: In one embodiment of the invention, a method for designing an integrated circuit is disclosed. The method includes automatically partitioning clock sinks of an integrated circuit design into a plurality of partitions; automatically synthesizing a clock tree from a master clock generator into the plurality of partitions to minimize local clock skew within each of the plurality of partitions; and automatically synthesizing clock de-skew circuitry into each of the plurality of partitions to control clock skew between neighboring partitions.
    Type: Grant
    Filed: August 22, 2008
    Date of Patent: June 19, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Radu Zlatanovici, Christoph Albrecht, Saurabh Kumar Tiwary